内部时钟源

内部时钟源
内部时钟源

内部时钟源

1、内部时钟源结构介绍

该单片机的内部时钟源模块(ICS,The internal clock source)是比较有特色的,除了一般单片机所具有的外部时钟配置(时钟或低成本晶体振荡器)、可编程内部时钟参考(32kHz)之外,还有一个锁频环(FLL, frequency-locked loop),锁频环的输入信号可以来自外部,也可以来自内部参考,锁频环的输出与外部时钟和内部参考时钟三者之一通过一个可编程分频器(BDIV , reduced bus divider)最终得到内部时钟源模块的最主要输出ICSOUT,总线时钟为ICSOUT的二分频。时钟源模块内部结构以及输出时钟的应用情况参考图1和图2。

对时钟源模块的控制与配置离不开特殊功能寄存器,需要用到的寄存器中的一些位(bits)在图中也已经标出来了,通过这些控制位的选择,时钟模块的输出可以来自外部、内部参考或者锁频环的输出。即使时钟源的输出不经过锁频环FLL,锁频环既可以使能,也可以关闭(省电),种种情况归纳起来,时钟源模块有7种工作模式:

FEI:FLL engaged internal mode;

FEE:FLL engaged external mode;

FBI:FLL bypassed internal mode;

FBILP:FLL bypassed internal low power mode;

FBE:FLL bypassed external mode

FBELP:FLL bypassed external low power mode

stop:

这7种工作模式中,前两个字母FE或者FB表示最终ICSOUT是否来自于锁频环,FE表示是,而FB表示FLL被跳过去了,ICSOUT可能来自外部也可能来自内部参考,取决于第三个字母是I(内部)还是E(外部)。有的模式中包含LP表示低功耗,也就是带LP的模式下锁频环被禁止,此时可以给BDC模块供电的,来自于FLL二分频输出的ICSLCLK不再存在。

2、内部时钟源控制寄存器介绍

对内部时钟源的控制与配置是通过2个控制寄存器、一个内部参考时钟调节寄存器以及一个状态与控制寄存器来实现的,对这些控制位的定义建议参考上述内部时钟源结构图。

1 (ICSC1)

内部时钟源控制寄存器

内部时钟源控制寄存器2 (ICSC2)

内部参考时钟调节寄存器(ICSTRM)

该寄存器与下一个状态与控制寄存器ICSSC的最低位,一共九位,用于调节内部参考时钟的周期,ICSSC的最低位FTRIM也是这九位的最低位。TRIM值越大,周期越长,频率越低。经过测试并调节好的TRIM值建议存储在非易失FLASH的寄存器区中,比如0xFFAE

用于存储TRIM的最低位,而0xFFAF则存储高8位。存储在FLASH寄存器区中的部分参数在上电时会自动拷贝到零页相应的寄存器中,但这里的TRIM数值需要在初始化阶段手工操作,比如:

extern volatile byte NVICSTRM @0x0000FFAF;

if (NVICSTRM != 0xFF) {

ICSTRM = NVICSTRM; // load trim value if location not blank

}

内部参考时钟状态与控制寄存器(ICSSC)

3、内部时钟源工作模式切换

内部时钟源一共有七种工作模式,这些工作模式之间的切换状态图见图3,其中主要四种模式FEI、FEE、FBI、FBE之间是可以随意切换的,其中的两种低功耗模式FBILP、FBELP 只能与之对应的非低功耗模式之间才能随意切换。在这些模式之间切换时,需要注意如下问题:

1〉如果要使用FLL,需要配置RDIV位,以保证FLL的输入范围在31.25 kHz到

39.0625 kHz之间;

2〉CLKS与IREFS之间存在一定的联动关系,如果CLKS为00(即FLL被选择为时钟源),IREFS可能为1或为0;但如果CLKS为01,IREFS就应该为1;CLKS

为10,IREFS就应该为0。

3〉低功耗除了受LP控制之外,还必须保证BDM不活动,因为BDM需要用到FLL 的输出。

4〉进入停止模式时,如果IRCLKEN和IREFSTEN置位,则ICS的输出ICSIRCLK 仍然活动;相同地如果ERCLKEN和EREFSTEN置位,则ICS的输出ICSERCLK

也仍然活动。

5〉在不同模式之间进行切换时,如果新选择的时钟不存在,则单片机会工作在

切换前的状态下。

6〉在FLL被跳过的模式下,如果某时刻需要切换到FLL模式,因为FLL锁定需要时间,建议FLL不要工作于低功耗模式,即LP不要置位,以保证切换迅速、稳

定。

7〉ICS将FLL的输入时钟(31.25 kHz到39.0625 kHz之间)以名字ICSFFCLK输出,该信号可被外设如定时器使用。ICS同时提供一个指示信号ICSFFE(控制Fixed

Frequency Clock的输出,见图2),表示主输出ICSOUT是否为信号ICSFFCLK

的四倍以上。针对FLL被使能的情形下,由于锁频环将输入信号ICSFFCLK固

定512倍频,即使经过BDIV分频(最大8分频),ICSOUT也一定是ICSFFCLK

的64倍以上,此时指示信号ICSFFE恒定为“1”,对于FLL被跳过的情形下,

则信号ICSFFE受两个分频器RDIV和BDIV的控制,下列情形可以保证ICSFFE

4、内部时钟源模块的初始化

ICS在上电复位后进入FEI模式,且BDIV设置为缺省的2分频。上电复位后,应对内部参考进行调整,建议使用FLASH存储器地址0xFFAE备份调整数据FTRIM,地址0xFFAF则用于备份8bit的ICSTRM数据。当然从FLASH中读取数据并覆盖零页寄存器的工作要在初始化阶段手工进行。下面介绍几个时钟初始化与切换的例子。

1〉初始化,并从内部时钟(FEI或FBI)切换到外部时钟(FEE或FBE):

a>设置寄存器ICSC2中的相关位,以允许外部时钟,如果打算采用FBE模式,对

LP的设置也应该此时进行;

b>等待一段时间,以使外部时钟稳定,一般振荡器的稳定时间可参考相关技术手

册。如果上一步设置了EREFS,即选择了外部振荡器模式,当振荡器稳定后,寄存器ICSSC中的OSCINIT会置位。

c>给ICSC1赋值,以选择时钟模式。如果选择FEE模式,设置合适的RDIV数值并

清除IREFS控制位,此时通过设置IRCLKEN位,使内部参考时钟保持运行,这在内外部时钟需要切换的场合很有用,如果不用切换,则应该关闭内部参考时钟以节省电源。

d>通过检测寄存器ICSSC中的CLKST位,以观察时钟切换是否完成。如果选择了

FEE模式,总线时钟经过数毫秒(由器件参数tAcquire 决定)后达到稳定,但如果是从FEI模式切换到FEE模式的情形,CLKST中的指示位不会改变。

2〉从外部时钟(FEE或FBE)模式切换到内部时钟(FEI或FBI)模式初始化:

a> 从FLASH中拷贝备份数据到TRIM和FTRIM中,该过程只在上电复位操作一次;

b> 设置寄存器ICSC1中的相应位以允许内部参考时钟(设置CLKS = 01选择FBI或

者设置CLKS = 00,RDIV = 000,并且IREFS = 1以选择FEI模式);

c> 等待内部时钟稳定,需要的时间可参考相关电器参数;

e>设置寄存器ICSC2以禁止外部时钟。也可以通过设置ERCLKEN位,使得外部时

钟保持运行,这对于需要在内外部时钟切换的场合很有用,从节电的角度出发,如果采用内部参考时钟,外部时钟电路应该禁止。对于需要设置FBI模式的情

形,此时也应该设置LP位,达到最大限度的省电的目的。

f>监测寄存器ICSSC中的CLKST位,以确保时钟切换完成。从模式FEE切换到FEI

模式时,状态CLKST不会改变,如果选择了FEI模式,经过数毫秒(由器件参数tAcquire 决定)后,总线时钟自然就稳定了。

深入了解HCS08的内部时钟源 (ICS)

飞思卡尔半导体 AN3041 应用笔记 第0版, 10/2005 深入了解HCS08的内部时钟源(ICS)模块 作者:Scott Pape 飞思卡尔微控制器部系统工程部 在本文中,我们将较为深入地了解一下某些型号的HCS08系列微控制器(MCU)所具有的内部时钟源模块(ICS)。ICS是HCS08 MCU所采用的一种非常灵活的时钟源,然而它十分的经济高效,适用于HCS08系列中体积较小、成本较低的类型的MCU。 ICS中包含锁频环、内部时钟参考信号、外部振荡器和时钟选择子模块。这些子模块组合起来能提供各种时钟模式和频率,从而几乎能满足任何应用的需要。ICS有7种工作模式,后文中将详细讨论。 同时,我们将把ICS模块与用在其他HCS08 MCU中的内部时钟发生器(ICG)模块进行比较。此外,我们还会介绍ICS模块从HCS08的各种低功耗模式恢复时的工作过程。在结论部分,我们将介绍内部时钟参考信号的校准。 目录页1 ICS功能介绍 (2) 1.1 结构框图 (2) 1.2 ICS模式:关断 (4) 1.3 ICS模式:FEI (4) 1.4 ICS模式:FEE (4) 1.5 ICS模式:FBI和FBILP (5) 1.6 ICS模式:FBE和FBELP (5) 1.7 ICS与ICG (6) 1.8 附加应用功能 (6) 2 低功耗模式中的ICS (7) 2.1 停止1和停止2模式 (7) 2.2 停止3模式 (7) 2.3 等待模式 (8) 3 校准IRC (8) 3.1 如何校准— AN2496 (8) 3.2 不调整的运行 (8) 3.3 校准IRC (9)

1 ICS 功能介绍 深入了解HCS08的内部时钟源(ICS)模块 飞思卡尔半导体 General Business Information 3 FLL 的输出频率为参考时钟频率的512倍。FLL 包括三个主要部分: ·参考频率选择 ·数字控制振荡器(DCO ) ·用于比较这两个部分输出的滤波器 FLL 的工作原理与锁相环(PLL )非常相似。不同之处在于PLL 是根据参考时钟与DCO 时钟的相位差来调节输出的,而FLL 则是通过比较DCO 时钟与参考时钟的频率来工作的。FLL 对一个参考时钟周期内的DCO 时钟脉冲边沿数进行计数。因此,对于512倍的倍频器,FLL 应该在参考时钟的每两个上升沿之间得到512个DCO 输出的上升沿。FLL 的实现大多借助数字逻辑电路,因而不需要PLL 通常必须采用的外部滤波器件。 时钟选择逻辑只是简单地选择FLL 、外部参考时钟或内部参考时钟作为ICS 模块的输出。此外,还采用了一个时钟分频器电路,可以对输出进行1倍、2倍、4倍或8倍分频,用以降低输出时钟的频率。 内部参考时钟(IRC )是一个可调整的内部参考时钟信号,既可用作FLL 的参考时钟,也可直接用作CPU 和总线时钟的时钟源。这个内部参考时钟不需要诸如调整电容或电阻等的外部器件。IRC 由ICS 寄存器中的一个9位数值进行调整,解析度典型值能达到未调整IRC 频率的0.1%。与许多其他的内部参考时钟不同,这个IRC 可将频率在一定的范围内进行调整,从31.25kHz 变到39.06kHz 。当用作FLL 的参考时钟时,这将允许用户用0.1%的解析度,把总线频率设定在8MHz 至10MHz 间的任意值。调整之后,对调整频率的偏差典型值仅为 +0.5% 至 -1%,最大值也只不过是±2%。 外部振荡器参考时钟(OSC )实际上把三个外部时钟源合并在一个里面。它有一个采用32kHz 至38.4kHz 晶体或谐振器的低频振荡器,还有一个采用1MHz 至16MHz 晶体或谐振器的高频振荡器。这两个振荡器需要两个引脚即XTAL 和EXTAL 来生成时钟信号。OSC 还有一种外部时钟模式,该模式简单地把一个外部时钟信号引入MCU 。在此模式中,只需要EXTAL 脚,而XTAL 脚可用作通用I/O 。输入频率可以是0Hz 至20MHz 之间的任意值。由于引脚的限制,有些MCU 可能没有外部振荡器。 ICS 的子模块是否启动取决于采用哪种ICS 时钟模式。ICS 具有7种工作模式: ·关断 ·FLL 启用、内部参考时钟(FEI ) ·FLL 启用、外部参考时钟(FEE ) ·FLL 旁路、内部参考时钟(FBI ) ·FLL 旁路、内部参考时钟、低功耗(FBILP ) ·FLL 旁路、外部参考时钟(FBE ) ·FLL 旁路、外部参考时钟、低功耗(FBELP )

什么是内部时钟方式和外部时钟方式

什么是内部时钟方式和外部时钟方式 计算机工作时,是在统一的时钟脉冲控制下一拍一拍地进行的。这个脉冲是由单片机控制器中的时序电路发出的。单片机的时序就是CPU在执行指令 时所需控制信号的时间顺序,为了保证各部件间的同步工作,单片机内部电路应在唯一的时钟信号下严格地控时序进行工作,在学习51单片机的时序之前,我们先来了解下时序相关的一些概念。既然计算机是在统一的时钟脉冲控制下工作的,那么,它的时钟脉冲是怎么来的呢?要给我们的计算机CPU提供时序,就需要相关的硬件电路,即振荡器和时钟电路。我们学习的8051单片机 内部有一个高增益反相放大器,这个反相放大器的作用就是用于构成振荡器用的,但要形成时钟,外部还需要加一些附加电路。8051单片机的时钟产生有以下两种方法: 一、内部时钟方式:利用单片机内部的振荡器,然后在引脚XTAL1(18脚)和XTAL2(19脚)两端接晶振,就构成了稳定的自激振荡器,其发出的脉冲 直接送入内部时钟电路,外接晶振时,晶振两端的电容一般选择为30PF左右;这两个电容对频率有微调的作用,晶振的频率范围可在1.2MHz-12MHz之间选择。为了减少寄生电容,更好地保证振荡器稳定、可靠地工作,振荡器和电容应尽可能安装得与单片机芯片靠近。二、外部时钟方式:此方式是利用外部振荡脉冲接入XTAL1或XTAL2。HMOS和CHMOS单片机外时钟信号接入方式不同,HMOS型单片机(例如8051)外时钟信号由XTAL2端脚注入后直接送至内部时钟电路,输入端XTAL1应接地。由于XTAL2端的逻辑电平不是TTL 的,故建议外接一个上接电阻。对于CHMOS型的单片机(例如80C51),因内部时钟发生器的信号取自反相器的输入端,故采用外部时钟源时,接线方式为外时钟信号接到XTAL1而XTAL2悬空。

基于FPGA的高速时钟数据恢复电路的实现_李湘琼

48 技术研发 Technology Research 0 引言 时钟数据恢复电路是高速收发器的核心模块,而高速收发器是通信系统中的关键部分。随着光纤在通信中的应用,信道可以承载的通信速率已经可以达到GHz,从而使得接收端的接收速率成为限制通信速率的主要瓶颈。因此高速时钟数据恢复电路的研究是目前通信领域的研究热点。目前时钟数据恢复电路主要是模拟IC和数字IC,其频率已经可以达到几十GHz。而由于FPGA器件的可编程性、低成本、短的设计周期以及越来越大的容量和速度,在数字领域的应用逐渐有替代数字IC的趋势,已经广泛作为数字系统的控制核心。但利用中低端FPGA还没有可以达到100MHz以上的时钟数据恢复电路。由于上面的原因,许多利用FPGA实现的高速通信系统中必须使用额外的专用时钟数据恢复IC,这样不仅增加了成本,而且裸露在外的高速PCB布线使还会带来串扰、信号完整性等非常严重的问题。如果可以在中低端FPGA上实现高速时钟数据恢复电路,则可降低成本且提高整个电路系统的性能。 目前利用FPGA实现时钟恢复电路的方法,基本都是首先利用FPGA内部的锁相环产生N*f的高频时钟,然后再根据输入信号控制对高速时钟的分频,从而产生与输入信号同步的时钟信号[1~3],其中N决定了恢复时钟信号的相位精度,通常N等于8。因此如果输入信号的频率为100MHz,则系统的工作频率就必须达到800MHz,对于中低端FPGA,如此高的工作频率显然无法承受。虽然高端FPGA可以达到GHz的工作频率,但其高昂的价格不适合用于普通用户。而其它基于中低端FPGA实现高速时钟恢复电路的方法,要么需要外部VCO模块[4],要么只能恢复数据而无法得到同步的时钟信号[5]。针对这种情况,本文提出了一种利用Altera FPGA中的锁相环及Logiclock 等技术,实现高速时钟恢复电路的方法。电路是在Altera 的EP2C5T144C6芯片上实现的,用于数字光端机的接收端 基于FPGA的高速时钟数据恢复电路的实现 李湘琼 黄启俊 常胜 (武汉大学,物理科学与技术学院电子科技系) 摘 要:介绍了一种利用输出时钟在具有不同相位的时钟信号之间进行切换实现高速时钟恢复电路的方法。利用Altera公司Quartus软件提供的修改逻辑单元和逻辑块锁定及插入buffer的方法,消除了时钟切换产生的毛刺,弥补了不同相位时钟由于不同的传输延迟而造成的相位偏移。设计的电路实现了数字光端机要求的204.8MHz的工作频率。同时, 分析了决定该电路工作频率的主要因素,通过仿真验证使用EP3C10E144C7芯片最高工作频率可以达到400MHz。 关键词:时钟恢复; Logiclock; 超前滞后鉴相器; 现场可编程逻辑门阵列 Design of high-speed clock and data recovery circuit Based on FPGA Li Xiangqiong Huang Qijun Chang Sheng (Department of Electronics Science and Technology, College of Physics Science and Technology, Wuhan University)Abstract : The paper presents a method to realize high-speed clock and data recovery circuit, which is based on the idea of utilizing output clock to make switching among the clocks whose phase are different. By using the modified logic elements, locking logic region provided by Altera's Quartus and inserting buffers, the burrs appearing in clock switching is eliminated and the phase offset generated from different transmitting delays of the clocks with different phases is compensated 。The designed circuit achieves the operation frequency, which meet the requirement of our project 。The main factor which affects the operation frequency of the presented circuits is also analyzed in this paper. The result of simulation based on the chip of EP3C10E144C7 shows that a highest operation frequency of 400 MHz can be achieved. Key words : clock recovery; logiclock ; the early-later phase detector; FPGA 从100路2.048MHz压缩视频码流合成的串行码流中正确提取100路视频码流,其工作频率为204.8MHz,通过硬件验证电路可以正确工作。 1 时钟恢复电路原理及环路结构 时钟恢复电路的目的是从输入的数据流中,提取出与其同步的时钟信号。时钟信号不可能凭空产生,因此该电路本身必须有一个时钟信号产生机制,除此之外还必须有一个判断控制机制--能够判断并且调整该时钟信号与输入数据之间的相位关系,使其同步。 传统的基于FPGA的时钟恢复电路的结构如图1所示。如前所述,这种结构的电路用中低端FPGA,工作频率不可能达到100MHz以上。本文采用的方法是利用锁相环产生不同相位的时钟信号,然后再根据控制信号控制输出时钟在这些时钟之间进行切换,从而使时钟与输入数据同步。具体结构如图2所示。下面详细介绍各个模块的工作原理及电路实现。 图1 基于高频时钟分频的时钟恢复电路结构图

PCB高速时钟信号布线技术技巧简要分析

PCB 高速时钟信号布线技术技巧简要分析 在PCB 的设计过程中,越来越多的工程师选择合理利用高速时钟信号布线技术,来有效提升其信号传输的有效性和传输速度。本文将会就PCB 高速时钟信号布线技术的相关技巧,展开简要分析,希望能够对刚刚开始接触PCB 设计工作的新人工程师提供一定的帮助。 相信很多电子工程师都非常明白的一点是,时钟电路的设计和应用在目前覆盖范围最广泛的数字电路中占有非常重要地位。在未来的DSP 现代电子系统应用设计中,对时钟布线要求也会越来越高。高速时钟信号线优先级最高,一般在布线时,需要优先考虑系统的主时钟信号线。高速时钟信号线信号频率高,要求走线尽量地短,保证信号的失真度最小。 在时钟电路的设计中,高频时钟作为一种敏感程度非常高的重要元件,对电路中的噪声干扰特别敏感,这也就需要工程师特别针对高频时钟信号线进行保护和屏蔽,力求将干扰降到最小。高频时钟主要指的是20MHz 以上的时钟或上升沿少于5ns 的时钟,在进行PCB 布线设计时,高频时钟必须有地线护送,时钟的线宽至少10rail,护送地线的线宽则至少要达到20mil。高频信号线的保护地线两端必须由过孔与地层良好接触,且每5em 左右要打过孔与地层相连。地线护送与数据线基本等长,推荐手工拉线。时钟发送侧必须串接一个22~220Q 左右的阻尼电阻。 在进行PCB 的高速时钟信号走线设计时,工程师需要特别注意,应当将其尽量设计在同一层面上,高速时钟信号线周围尽量没有其他的干扰源和走线。高频时钟连线建议采用星型连接或采用点对点连接,采用T 型连接要保证等臂长,尽量减少过孔的数量,在晶振或时钟芯片下需敷铜防止干扰。避免由这些线带来的信号噪声所产生的干扰。

PLL时钟

什么是PLL 2007-01-18 16:53 1580人阅读评论(0) 收藏举报PLL 是Phase-Locked Loop(锁相环)的缩写。 什么是锁相环?锁相环是指一种电路或者模块,它用于在通信的接收机中,其作用是对接收到的信号进行处理,并从其中提取某个时钟的相位信息。或者说,对于接收 到的信号,仿制一个时钟信号,使得这两个信号从某种角度来看是同步的(或者说,相干的)。 由于锁定情形下(即完成捕捉后),该仿制的时钟信号相对于接收到的信号 中的时钟信号具有一定的相差,所以很形象地称其为锁相器。 而一般情形下,这种锁相环的三个组成部分和相应的运作机理是: 1 鉴相器:用于判断锁相器所输出的时钟信号和接收信号中的时钟的相差的幅度; 2 可调相/调频的时钟发生器器:用于根据鉴相器所输出的信号来适当的调节锁相器 内部的时钟输出信号的频率或者相位,使得锁相器完成上述的固定相差功能; 3 环路滤波器:用于对鉴相器的输出信号进行滤波和平滑,大多数情形下是一个低通 滤波器,用于滤除由于数据的变化和其他不稳定因素对整个模块的影响。 从上可以看出,大致有如下框图: ┌─────┐┌─────┐┌───────┐ →─┤鉴相器├─→─┤环路滤波器├─→─┤受控时钟发生器├→┬─→ └──┬──┘└─────┘└───────┘│ ↑↓ └──────────────────────────┘ 可见,是一个负反馈环路结构,所以一般称为锁相环(PLL: Phase Locking Loop) 锁相环有很多种类,可以是数字的也可以是模拟的也可以是混合的,可以用于恢复载波 也可以用于恢复基带信号时钟。

内部时钟源

内部时钟源 1、内部时钟源结构介绍 该单片机的内部时钟源模块(ICS,The internal clock source)是比较有特色的,除了一般单片机所具有的外部时钟配置(时钟或低成本晶体振荡器)、可编程内部时钟参考(32kHz)之外,还有一个锁频环(FLL, frequency-locked loop),锁频环的输入信号可以来自外部,也可以来自内部参考,锁频环的输出与外部时钟和内部参考时钟三者之一通过一个可编程分频器(BDIV , reduced bus divider)最终得到内部时钟源模块的最主要输出ICSOUT,总线时钟为ICSOUT的二分频。时钟源模块内部结构以及输出时钟的应用情况参考图1和图2。

对时钟源模块的控制与配置离不开特殊功能寄存器,需要用到的寄存器中的一些位(bits)在图中也已经标出来了,通过这些控制位的选择,时钟模块的输出可以来自外部、内部参考或者锁频环的输出。即使时钟源的输出不经过锁频环FLL,锁频环既可以使能,也可以关闭(省电),种种情况归纳起来,时钟源模块有7种工作模式: FEI:FLL engaged internal mode; FEE:FLL engaged external mode; FBI:FLL bypassed internal mode; FBILP:FLL bypassed internal low power mode; FBE:FLL bypassed external mode FBELP:FLL bypassed external low power mode stop: 这7种工作模式中,前两个字母FE或者FB表示最终ICSOUT是否来自于锁频环,FE表示是,而FB表示FLL被跳过去了,ICSOUT可能来自外部也可能来自内部参考,取决于第三个字母是I(内部)还是E(外部)。有的模式中包含LP表示低功耗,也就是带LP的模式下锁频环被禁止,此时可以给BDC模块供电的,来自于FLL二分频输出的ICSLCLK不再存在。 2、内部时钟源控制寄存器介绍 对内部时钟源的控制与配置是通过2个控制寄存器、一个内部参考时钟调节寄存器以及一个状态与控制寄存器来实现的,对这些控制位的定义建议参考上述内部时钟源结构图。 1 (ICSC1) 内部时钟源控制寄存器

高速时钟电路的EMC设计

高速时钟电路的EMC设计 分类:C++ builder 笔记PCB 初学2010-05-29 12:57 375人阅读评论(0) 收藏举报 EMI信号将会干扰电子设备(如收音机、电视、移动电话以及其他类似设备)的正常运行。在PCB板上,电磁干扰会严重影响系统的正常工作。在大多数数字系统中,电磁干扰的主要来源是时钟发生以及分发电路。 干扰是电磁波造成的,而电磁波是由于带电粒子在电场中移动产生的,只要存在电信号就一定会产生电磁波。监管机构要求产生电磁干扰的电子设备必须符合特定的规章制度和要求。其中一项要求是:在固定的频率范围内,在距离发射源一定距离处由发射源产生的干扰不能超过预定水平。 时钟又是如何影响其他设备的正常工作呢?很多同步设备使用的典型频率为33.3MHz,这个频率经常用作PCI总线、ASIC、FPGA以及处理器的时钟信号源。与33.3MHz有关的是一系列谐波频率。33.3MHz的3次谐波即为99.9MHz,因此一块工作频率为33MHz的电路板可能使调谐99.90MHz的收音机不能正常接收。 时钟电路在数字电路中占有重要地位,同时时钟电路也是产生电磁辐射的主要来源。一个具有2ns上升沿的时钟信号辐射能量的带宽可达160MHz,其可能辐射带宽可达十倍频,即1.6GHz。因此,设计好时钟电路是保证达到系统辐射指标的关键,时钟电路EMC设计的好坏直接影响整个系统的性能。 时钟电路中电磁干扰的产生 时钟源可以通过两种方式产生电磁干扰。同步时钟的重复特性以及没有正确端接的线路都会产生电磁干扰。时钟的能量是通过天线辐射进入电磁场的。这里指的天线包括各种形式:PCB线路、PCB返工线、未经充分屏蔽的元件、连接器、缆线(屏蔽或非屏蔽)以及未正确接地的设备等。 在高速数字系统中,固定频率的时钟是主要的电磁干扰源。这是因为,这些时钟总是在一个固定的频率下工作,这将使能量增加到更高的级别。而非重复性信号或是异步信号不会产生如此多的电磁干扰。随着更高的数据速率要求更快的时钟频率,信号的边沿率(即上升时间和下降时间)也随之提高。较快的边沿率将使辐射信号的能量级别增加更多。图1显示了两个具有相同频率、幅度、占空比及相位的信号,唯一不同的地方是信号的边沿率,通过测量可知上升时间较快的信号其辐射能量要明显大于跃迁率较低的信号。

高速时钟线的处理

2 时钟线的处理 2.1)建议先走时钟线。 2.2)频率大于等于66M的时钟线,每条过孔数不要超过2个,平均不得超过1.5个。 2.3)频率小于66M的时钟线,每条过孔数不要超过3个,平均不得超过2.5个2.4)长度超过12inch的时钟线,如果频率大于20M,过孔数不得超过2个。 2.5)如果时钟线有过孔,在过孔的相邻位置,在第二层(地层)和第三层(电源层)之间加一个旁路电容、如图2.5-1所示,以确保时钟线换层后,参考层(相邻层)的高频电流的回路连续。旁路电容所在的电源层必须是过孔穿过的电源层,并尽可能地靠近过孔,旁路电容与过孔的间距最大不超过300MIL。图2.5-1过孔处的旁路电容 2.6)所有时钟线原则上不可以穿岛。下面列举了穿岛的四种情形。 2.6.1) 跨岛出现在电源岛与电源岛之间。此时时钟线在第四层的背面走线,第三层(电源层)有两个电源岛,且第四层的走线必须跨过这两个岛,如图2.6-1 所示。 2.6.2) 跨岛出现在电源岛与地岛之间。此时时钟线在第四层的背面走线,第三层(电源层)的一个电源岛中间有一块地岛,且第四层的走线必须跨过这两个岛。如图2.6-2所示。 2.6.3) 跨岛出现在地岛与地层之间。此时时钟线在第一层走线,第二层(地层)的中间有一块地岛,且第一层的走线必须跨过地岛,相当于地线被中断。如图2. 6-3所示。 2.6.4) 时钟线下面没有铺铜。若条件限制实在做不到不穿岛,保证频率大于等于66M的时钟线不穿岛,频率小于66M的时钟线若穿岛,必须加一个去耦电容形成镜像通路。以图6.1为例,在两个电源岛之间并靠近跨岛的时钟线,放置一个0.1UF的电容。 2.7)当面临两个过孔和一次穿岛的取舍时,选一次穿岛。 2.8)时钟线要远离I/O一侧板边500MIL以上,并且不要和I/O线并行走,若实在做不到,时钟线与I/O口线间距要大于50MIL。 2.9)时钟线走在第四层时,时钟线的参考层(电源平面)应尽量为时钟供电的那个电源面上,以其他电源面为参考的时钟越少越好,另外,频率大于等于66M 的时钟线参考电源面必须为3.3V电源平面。 2.10)时钟线打线时线间距要大于25MIL。 2.11)时钟线打线时进去的线和出去的线应该尽量远。尽量避免类似图A和图C 所示的打线方式,采用类似图B和图D的打线方式,若时钟线需换层,避免采用图E的打线方式,采用图F的打线方式。 2.12)时钟线连接BGA等器件时,若时钟线换层,尽量避免采用图G的走线形式,过孔不要在BGA下面走,最好采用图H的走线形式。 2.13) 注意各个时钟信号,不要忽略任何一个时钟,包括AUDIO CODEC的AC_BI TCLK,尤其注意的是FS3-FS0,虽然说从名称上看不是时钟,但实际上跑的是时钟,要加以注意。 2.14) Clock Chip上拉下拉电阻尽量靠近Clock Chip。

时钟源及定时器计算方法

时钟源及定时器计算方法示例一.时钟源 Fin=8MHz ●时钟源定义 规则: Fpllo必须大于20MHZ且少于66MHZ Fpllo * 2s必须少于170MHZ Fin/p推荐为1MHZ 或大于,但小于2MHZ 例:设晶振工作频率fin=8MHz,要求产生主时钟频率MCLK==64MHz m = (MDIV + 8),p = (PDIV + 2),s = SDIV 由于Fpllo * 2s<170MHZ →2s <170MHz/64 MHz = 2.65 →s=1=SDIV Fin/p推荐为1MHZ 或大于,但小于2MHZ, 1MHz<=Fin/p<2M Fin /2M<=P< Fin/1M →4<=P<8 p = (PDIV + 2) →2<=PDIV<6

Fpllo = (m * Fin)/(p * 2s) 注:答案不唯一 ●SDIV=1 (0x01) PLLCON[1:0] 2位0~3 ●取PDIV=2 (0x02) PLLCON[9:4] 6位0~63 ●MDIV=?(0x38) PLLCON[19:12] 8位0~255 由 Fpllo=MCLK=( MDIV +8)*8M/( PDIV +2)*2SDIV =( MDIV +8)*8M/((2+2)*21)= 64MHz →( MDIV +8)*8M/8= 64MHz →MDIV +8=64 →MDIV=56 PLLCON:MDIV[19:12],PDIV[9:4],SDIV[1:0] 0x38 0x2 0x1 ●对PLLCON赋值方法一: PLLCON=0b0011 1000 0000 0010 0001或PLLCON=0x380201 ●对PLLCON赋值方法二: PLLCON= ((MDIV<<12)| (PDIV<<4)|( SDIV<<0)) 二.定时器定义 定时器输入时钟频率f in=MCLK/{预分频值+1}/{再分频值}= MCLK/{ prescaler +1}/{DIV},其中预分频值为0~255,再分频DIV为2,4,8,16,32 例:设系统输入主时钟频率为MCLK=64MHz,要求定时器Time0输出脉冲时间间隔T=5s,占空比为20%。 注:答案不唯一。 ●由定时器输出频率要求可知:f out=1/T=1/5=0.2Hz ●设取DIV= 32 Prescaler=199 Prescaler:0~255 ●由f in= MCLK/{ prescaler +1}/DIV=64MHz/200/32=10KHz 尽量保持整除 ●TCNTBn = f in / f out=10KHz/0.2=50K=50000 TCNTBn寄存器为16位:0~65535 ●占空比20%,可得TCMPBn= TCNTBn*20%=50000*20%=10000,即定时器从50000递 减计数至10000时(即TCMPBn= TCNTBn),Tout输出高电平 定时器配置及启动!

PCB高速时钟线处理

PCB高速时钟线处理高速时钟线处理高速时钟线处理高速时钟线处理 2 时钟线的处理 2.1)建议先走时钟线。 2.2)频率大于等于66M的时钟线,每条过孔数不要超过2个,平均不得超过1.5个。 2.3)频率小于66M的时钟线,每条过孔数不要超过3个,平均不得超过2.5个 2.4)长度超过12inch的时钟线,如果频率大于20M,过孔数不得超过2个。 2.5)如果时钟线有过孔,在过孔的相邻位置,在第二层(地层)和第三层(电源层)之间加一个旁路电容、如图2.5-1所示,以确保时钟线换层后,参考层(相邻层)的高频电流的回路连续。旁路电容所在的电源层必须是过孔穿过的电源层,并尽可能地靠近过孔,旁路电容与过孔的间距最大不超过300MIL。图2.5-1过孔处的旁路电容 2.6)所有时钟线原则上不可以穿岛。下面列举了穿岛的四种情形。 2.6.1) 跨岛出现在电源岛与电源岛之间。此时时钟线在第四层的背面走线,第三层(电源层)有两个电源岛,且第四层的走线必须跨过这两个岛,如图2.6-1所示。 2.6.2) 跨岛出现在电源岛与地岛之间。此时时钟线在第四层的背面走线,第三层(电源层)的一个电源岛中间有一块地岛,且第四层的走线必须跨过这两个岛。如图2.6-2所示。 2.6.3) 跨岛出现在地岛与地层之间。此时时钟线在第一层走线,第二层(地层)的中间有一块地岛,且第一层的走线必须跨过地岛,相当于地线被中断。如图2.6-3所示。 2.6.4) 时钟线下面没有铺铜。若条件限制实在做不到不穿岛,保证频率大于等于66M的时钟线不穿岛,频率小于66M的时钟线若穿岛,必须加一个去耦电容形成镜像通路。以图6.1为例,在两个电源岛之间并靠近跨岛的时钟线,放置一个0.1UF的电容。 2.7)当面临两个过孔和一次穿岛的取舍时,选一次穿岛。 2.8)时钟线要远离I/O一侧板边500MIL以上,并且不要和I/O线并行走,若实在做不到,时钟线与I/O口线间距要大于50MIL。 2.9)时钟线走在第四层时,时钟线的参考层(电源平面)应尽量为时钟供电的那个电源面上,以其他电源面为参考的时钟越少越好,另外,频率大于等于66M的时钟线参考电源面必须为3.3V电源平面。 2.10)时钟线打线时线间距要大于25MIL。 2.11)时钟线打线时进去的线和出去的线应该尽量远。尽量避免类似图A和图C所示的打线方式,采用类似图B和图D的打线方式,若时钟线需换层,避免采用图E的打线方式,采用图F的打线方式。 2.12) 时钟线连接BGA等器件时,若时钟线换层,尽量避免采用图G的走线形式,过孔不要在BGA下面走,最好采用图H的走线形式。 2.13) 注意各个时钟信号,不要忽略任何一个时钟,包括AUDIO CODEC的AC_BITCLK,尤其注意的是FS3-FS0,虽然说从名称上看不是时钟,但实际上跑的是时钟,要加以注意。 2.14) Clock Chip上拉下拉电阻尽量靠近Clock Chip。 36、对于全数字信号的 PCB,板上有一个 80MHz 的钟源。除了采用丝网(接地)外,为了保证有足够的驱动能力,还应该采用什么样的电路进行保护?确保时钟的驱动能力,不应该通过保护实现,一般采用时钟驱动芯片。一般担心时钟驱动能力,是因为多个时钟负载造成。采用时钟驱动芯片,将一个时钟信号变成几个,采用点到点的连接。选择驱动芯片,除了保证与负载基本匹配,信号沿满足要求(一般时钟为沿有效信号),在计算系统时序时,要算上时钟在驱动芯片内时延。1. 在实际设计中建议使用实体地和电源层,避免电源和地被分割,这种分割可能导致复杂的电流环路。电流环路越大辐射也越大,所以必须避免任何信号尤其是时钟信号在分割地上布线。 2.将时钟驱动器布局在电路板中心位置而不是电路板外围。将时钟驱动器放置在电路板外围会增加磁偶极矩(magnetic dipole moment)。 3.为了进一步降低顶层时钟信号线的EMI,最好是在时钟线两侧并行布上地线。当然,更好将时钟信号布在地层与电源层之间的内部

stm32时钟详解

在STM32中,有五个时钟源,为HSI、HSE、LSI、LSE、PLL。 其实是四个时钟源,如下图所示(灰蓝色),PLL是由锁相环电路倍频得到PLL时钟。 ①、HSI是高速内部时钟,RC振荡器,频率为8MHz。 ②、HSE是高速外部时钟,可接石英/陶瓷谐振器,或者接外部时钟源,频率范围为4MHz~16MHz。 ③、LSI是低速内部时钟,RC振荡器,频率为40kHz。 ④、LSE是低速外部时钟,接频率为32.768kHz的石英晶体。 ⑤、PLL为锁相环倍频输出,其时钟输入源可选择为HSI/2、HSE或者HSE/2。倍频可选择为2~16倍,但是其输出频率最大不得超过72MHz。

其中40kHz的LSI供独立看门狗IWDG使用,另外它还可以被选择为实时时钟RTC的时钟源。另外,实时时钟RTC的时钟源还可以选择LSE,或者是HSE的128分频。RTC的时钟源通过RTCSEL[1:0]来选择。 STM32中有一个全速功能的USB模块,其串行接口引擎需要一个频率为48MHz的时钟源。该时钟源只能从PLL输出端获取,可以选择为1.5分频或者1分频,也就是,当需要使用USB模块时,PLL必须使能,并且时钟频率配置为48MHz或72MHz。 另外,STM32还可以选择一个时钟信号输出到MCO脚(PA8)上,可以选择为PLL输出的2分频、HSI、HSE、或者系统时钟。 系统时钟SYSCLK,它是供STM32中绝大部分部件工作的时钟源。系统时钟可选择为PLL输出、HSI或者HSE。系统时钟最大频率为72MHz,它通过AHB分频器分频后送给各模块使用,AHB分频器可选择1、2、4、8、16、64、128、256、512分频。其中AHB分频器输出的时钟送给5大模块使用: ①、送给AHB总线、内核、内存和DMA使用的HCLK时钟。 ②、通过8分频后送给Cortex的系统定时器时钟。 ③、直接送给Cortex的空闲运行时钟FCLK。 ④、送给APB1分频器。APB1分频器可选择1、2、4、8、16分频,其输出一路供APB1外设使用(PCLK1,最大频率36MHz),另一路送给定时器(Timer)2、3、4倍频器使用。该倍频器可选择1或者2倍频,时钟输出供定时器2、3、4使用。 ⑤、送给APB2分频器。APB2分频器可选择1、2、4、8、16分频,其输出一路供APB2外设使用(PCLK2,最大频率72MHz),另一路送给定时器(Timer)1倍频器使用。该倍频器可选择1或者2倍频,时钟输出供定时器1使用。另外,APB2分频器还有一路输出供ADC分频器使用,分频后送给ADC模块使用。ADC分频器可选择为2、4、6、8分频。 在以上的时钟输出中,有很多是带使能控制的,例如AHB总线时钟、内核时钟、各种APB1外设、APB2外设等等。当需要使用某模块时,记得一定要先使能对应的时钟。 需要注意的是定时器的倍频器,当APB的分频为1时,它的倍频值为1,否则它的倍频值就为2。

STM8的C语言编程(11)-- 切换时钟源

STM8的C语言编程(11)--切换时钟源 STM8单片机的时钟源非常丰富,芯片内部既有16MHZ的高速RC振荡器,也有128KHZ的低速RC振荡器,外部还可以接一个高速的晶体振荡器。在系统运行过程中,可以根据需要,自由地切换。单片机复位后,首先采用的是内部的高速RC振荡器,且分频系数为8,因此CPU的上电运行的时钟频率为2MHZ。切换时钟源,主要涉及到的寄存器有:主时钟切换寄存器CLK_SWR和切换控制寄存器CLK_SWCR。 主时钟切换寄存器的复位值为0xe1,表示切换到内部的高速RC振荡器上。当往该寄存器写入0xb4时,表示切换到外部的高速晶体振荡器上。 在实际切换过程中,应该先将切换控制寄存器中的SWEN(第1位)设置成1,然后设置CLK_SWCR的值,最后要判断切换控制寄存器中的SWIF标志是否切换成功。 下面的实验程序首先将主时钟源切换到外部的晶体振荡器上,振荡频率为8MH Z,然后,然后快速闪烁LED指示灯。接着,将主时钟源又切换到内部的振荡器上,振荡频率为2MHZ,然后再慢速闪烁LED指示灯。通过观察LED指示灯的闪烁频率,可以看到,同样的循环代码,由于主时钟源的改变的改变,闪烁频率和时间长短都发生了变化。 同样还是利用ST的开发工具,生成一个C语言程序的框架,然后修改其中的m ain.c,修改后的代码如下。 // 程序描述:通过切换CPU的主时钟源,来改变CPU的运行速度 #include "STM8S207C_S.h" // 函数功能:延时函数 // 输入参数:ms -- 要延时的毫秒数,这里假设CPU的主频为2MHZ // 输出参数:无 // 返回值:无 // 备注:无 void DelayMS(unsigned int ms) { unsigned char i; while(ms != 0) { for(i=0;i<250;i++) { }

国网电力通信【时钟源】题库

时钟源题库 一、选择题 1.描述同步网性能的三个重要指标是(B)。 A、漂动、抖动、位移 B、漂动、抖动、滑动 C、漂移、抖动、位移 D、漂动、振动、滑动 2.基准时钟一般采用(B)。 A、GPS B、铯原子钟 C、铷原子钟 D、晶体钟 3.基准主时钟(PRC),由G.811建议规范,频率准确度达到(A)。 A、1×10-11 B、1×10-10 C、1×10-9 D、1×10-8 4.在2.048kbit/s复帧结构中的(A)时隙作为传递SSM的信息通道。 A、TS0 B、TS1 C、TS16 D、TS30 5.在SDH中,SSM是通过MSOH中(A)字节来传递的。 A、S1 B、A1 C、B2 D、C2 6.如果没有稳定的基准时钟信号源,光同步传送网无法进行(C)传输指标的测量。 A、误码 B、抖动 C、漂移 D、保护切换 7.在SDH网络中传送定时要通过的接口种类有:2048kHz接口、2048kbit/s接口和(C)接口3种。 A、34Mbit/s B、8Mbit/s C、STM-N D、STM-0 8.通信网中,从时钟的正常工作状态不应包括(D)。 A、自由运行(Free Running) B、保持(Hold Over) C、锁定(Locked) D、跟踪(Trace) 9.在SDH网络中,其全程漂动总量不超过(B)微秒。 A、10 B、18 C、20 D、25 10.SDH同步网定时基准传输链上, SDH设备时钟总个数不能超过(C)个。 A、10 B、20 C、60 D、99 11.SDH同步网定时基准传输链上,在两个转接局SSU之间的SDH设备时钟数目不宜超过(B)个。 A、10 B、20 C、60 D、99 12.由于时钟内部操作而引起的基准时钟输出接口(2048kHz或2048kbit/s)相位不连续性都不应超过(D)。 A、1UI B、1/2UI C、1/4UI D、1/8UI 13.对输入定时信号的规定:当以电缆连接BITS输出至业务设备的同步输入时,BITS输出至业务设备输入间的传输衰减为:对2048kb/s信号在1024kHz频率点不应大于( );对2048kHz信号在2048kHz频率点不应大于( )。(B) A、5dB, 5dB B、6dB, 6dB C、7dB, 7dB D、8dB, 8Db 二、多项选择题 1.以下关于时钟源说法正确的是:(ABD)。 A、PRC、LPR都是一级基准时钟 B、PRC包括Cs原子钟 C、BITS只能配置Rb钟

教你如何设计最优化的时钟电路

设计最优化的时钟电路 高速数字电路设计超越了简单的“1”与“0”的世界而进入模拟电路领域,避免传输线效应造成的系统故障是设计师们必须认真解决的问题。本文的目的是通过对49FCT3807与SDRAM的一驱一和一驱二时钟电路的SI(信号完整性)分析和参数的优化举例,使硬件设计工程师和PCB设计工程师了解在设计时需要考虑和注意之处。希望能够抛砖引玉。 设计和调试中若遇到SI问题,欢迎与眭工探讨,本人将真诚为大家服务。同时也再次提醒大家,在向供应商要器件资料时加上IBIS (I/O Buffer Information Specification)模型,若是模拟器件则要求提供Spice模型。

1. 一驱一时钟(49FCT3807-SDRAM) 1.1 网络拓扑 由于3807的输出阻抗只有6~10欧姆左右,时钟网络的印制线特征阻抗一般设计为50欧姆,在原理图设计时,3807的输出端应加防反射串联电阻,其拓扑如图1。 图1 一驱一时钟网络拓扑 图2为加了串阻和没有加串阻的波形比较。 图2 加了串阻(黑色)和没有加串阻(红色)的波形比较 从图可以明显看出,加了串阻之后在接收端的反射电压下降,振铃现象减弱,因而噪声裕度增加。噪声裕度(Noise Margin)的测量见图3。 NoiseMarginHigh是指接收端在“1”电平时的电压的最小值与电压阈值Vih之差; NoiseMarginLow是指接收端在“0”电平时电压阈值Vil与电压的最大值之差;通常噪声裕度Noise Margin 指NoiseMarginHigh 和NoiseMarginLow中的较小者。

图3 噪声裕度(Noise Margin)的测量 1.2 串阻阻值的选定 取时钟网络的印制线特征阻抗为50欧姆,对图1中的电阻从22 ~ 58欧姆进行扫描。接收端的波形如图4。串阻值与噪声裕度的关系曲线如图5。 从图5可以看出,串阻的最佳取值为46欧姆,考虑到电阻的规格,串阻值应为43~49.9欧姆±5%。 注意:串阻的最佳取值是与驱动器件的特性(在ibis模型中体现)和印制线特征阻抗有关的。

atmega8系统时钟配置

MEGA8系统时钟配置 1、总述 通过对ATmega8的Flash熔丝位CKSEL编程设置,器件可选择如表所示的5种类开的系统时钟源。 可选系统时钟源熔丝位CKSEL3..0 1、外部晶振 1111~1010 2、外部低频晶振 1001 3、外部RC振荡 1000~0101 4、内部RC振荡 0100~0001 5、外部时钟 0000 注:“1”表示熔丝位未编程,“0”表示熔丝位被编程,以下同。 掉电和省电模式唤醒时间:当CPU从掉电(POWER-DOWN)或节电(POWER-SA VE)模式下被唤醒时,系统对选定的时钟源脉冲进行计数,经过若干个时钟脉冲后(Start-up Time,可设置选定),再正式启动CPU进入工作,这样保证了在CPU正式开始执行指令前,振荡器已达到稳定工作关态。 复位延时启动时间:当CPU从上电复位启动后到CPU开始正常操作指令前,也有额外的延时,以保证系统电源达到稳定的电平。看门狗振荡器Watchdog Oscillator)被用作该启动延时的定时器。这个WDT 振荡器启动延时的时间周期见下表,看门狗振荡器的频率由系统电源的电压决定。芯片出厂的设置为:CKSEL=0001,SUT=10(使用1MHz内部RC振荡器,慢速率上升电源)。 表:WDT典型延时启动时间和脉冲数 典型延时时间 Vcc=5V Vcc=3V 延时脉冲数 4.1ms 4.3ms 4K(4096) 65ms 69ms 64K(65536) 与系统时钟配置相关的熔丝位有7位:CLSEL3..0、CKOPT、SUT1..0,芯片出厂默认设置值为:CKSEL=0001,SUT=10,CKOPT=0,意思是使用1MHz内部RC振荡器,慢速率上升电源。 2、外部晶振 熔丝位 CKOPT CKSEL3..1 工作频率范围 (MHz) 石英晶体时的C1 C2值 1 101 0.4~0.9 12~22pF 1 110 0.9~3.0 12~22pF 1 111 3.0~8.0 12~22pF 0 101,110,111 <=1.0 12~22pF

MSP430之时钟源的选择

MSP430之时钟源的选择 学51的朋友刚转学MSP430时会感觉很多的不适应,这是理所当然的,因为MSP430的。 资源要比51丰富的多了,而且是16位。今天刚系统学完MSP430时钟源部分,在此写下,忘大家指正。 首先,MSP430不像51只有有一个外部晶振作为时钟源,MSP430又有3到4个时钟源!外部可接两个晶振,一个高频晶振XT2CLK(0.4M~16M),一个低平晶振LFXT1CLK(32768HZ).。内部有一个数字振荡器DCO。MSP430中规定了3种时钟信号:ACLK,MACLK,SMCLK。ACLK(辅助时钟信号),LFXT1CLK是该时钟信号的时钟源,ACLK主要用作一些低频模块。MACLK(主时钟信号),XT2CLK,LFXT1CLK,DCO都可以是该时钟信号的时钟源,MACLK主要给CPU和系统提供时钟信号。SMCLK(子时钟信号),XT2CLK,LFXT1CLK,DCO都可以是该时钟信号的时钟源,SMCLK主要用作一些低频模块。看到这里,看到有点乱了,别着急,慢慢理清思路。下面的图片帮大家理解一下 下面对与时钟源有关的寄存器进行分析 1:DCOCTL

DCOX(BIT7~BIT5):这三位与下面提到的RSELX共同来决定DCO的频率范围。RSELX选择的是大范围,DCOX选择的是小范围。例如RSELX先将范围限定在1000~2000,DCOX则决定1000~2000之间的某个范围。 MOD(BIT4~BIT0):这5位不重要,可以不管他。 2:BCSCTL1 XT2OFF(bit7):改为置1的话关闭高频晶振。 XTS(bit6):LFXT1CLK模式选择,置1的话选择外部接高频晶振,置0的话这接32768HZ的晶振。 DIVX(bit5~bit4):ACLk分频。ACLK可以经1/2/4/8/分频后供给相应模块。 RSELX:前面已经提到,与DCOX同来决定DCO的频率范围。 3:BCSCTL2 SELMX(BIT7~BIT6):MCLK时钟来源选择位。00:选择DCO作为MCLK的时钟源。01:选择DCO作为MCLK的时钟源。10:选择高频晶振作为MCLK的时钟源。11:选择低频晶振作为MCLK的时钟源。 DIVMX(BIT5~BIT4):MCLk分频. SELS(BIT3):SCLK时钟源选择位。0:选择DCO作为SCLK的时钟源.1:选择高频晶振作为SCLK的时钟源,若高频晶振不存在,则选择低频晶振作为SCLK的时钟源。 DIVSX:SCLK分频。 DCOR:该位不重要 4:BCSCTL3 XT2SX(BIT7~BIT6):高频晶振频率范围选择。 00:0.4M~1M.01:1M~3M.10:3~16M. LFXT1SX(BIT5~BIT4):低频晶振范围选择。 XCAPX:当XTS=0时,LFX1CLK选择的是低频模式时,需要用改为选择内部电容来帮助晶振起振。00:1pf01:6pf10:10pf11:12pf. 当XTS=1时,LFX1CLK选择高频模式,需要要外部接电容来起振,所以XCAPX必须置0.

相关文档
最新文档