合肥工业大学数字电路习题

合肥工业大学数字电路习题
合肥工业大学数字电路习题

2010-2011学年第二学期数字电路试卷

计算机与信息学院杨萍

姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________

本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷

1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(),作为8421BCD码时,它相当于十进制数()。

2.三态门电路的输出有高电平、低电平和()3种状态。

3.TTL与非门多余的输入端应接()。

4.TTL集成JK触发器正常工作时,其和端应接()电平。

5. 已知某函数,该函数的反函数=()。

6. 如果对键盘上108个符号进行二进制编码,则至少要()位二进制数码。

7. 典型的TTL与非门电路使用的电路为电源电压为()V,其输出高电平为()V,输出低电平为()V,CMOS电路的电源电压为()V 。

8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出应为()。

9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM。该ROM有()根地址线,有()根数据读出线。

10. 两片中规模集成电路10进制计数器串联后,最大计数容量为()位。

11.

);Y3

=()。

12. 某计数器的输出波形如图1所示,该计数器是()进制计数器。13.驱动共阳极七段数码管的译码器的输出电平为()有效。二、单项选择题(本大题共15小题,每小题2分,共30分)

(在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。)

1. 函数F(A,B,C)=AB+BC+AC的最小项表达式为( ) 。

A.F(A,B,C)=∑m(0,2,4) B. (A,B,C)=∑m(3,5,6,7)

C.F(A,B,C)=∑m(0,2,3,4) D. F(A,B,C)=∑m(2,4,6,7)

2.8线—3线优先编码器的输入为I0—I7,当优先级别最高的I7有效时,其输出的值是()。

A.111 B. 010 C. 000 D. 101

3.十六路数据选择器的地址输入(选择控制)端有()个。

A.16 B.2 C.4 D.8

4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是()。

A. 1011--0110--1100--1000--0000

B. 1011--0101--0010--0001--0000

C. 1011--1100--1101--1110--1111

D. 1011--1010--1001--1000--0111

5.已知74LS138译码器的输入三个使能端(E1=1,E2A = E2B=0)时,地址码A2A1A0=011,则输出Y7 ~Y0是( ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111

6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。

A.15 B.8 C.7 D.1

7. 随机存取存储器具有( )功能。

A.读/写

B.无读/写

C.只读

D.只写

8.N个触发器可以构成最大计数长度(进制数)为( )的计数器。

A.N

B.2N

C.N2

D.2N

9.某计数器的状态转换图如下,

其计数的容量为( )

A.八 B. 五

C. 四

D. 三

10.已知某触发的特性表如下(A、B

A. Q n+1=A B. C. D. Q n+1= B

11.有一个4位的D/A转换器,设它的满刻度输出电压为10V,当输入数字量为1101时,

输出电压为()。

A. 8.125V B.4V C. 6.25V D.9.375V

12.函数F=AB+BC,使F=1的输入ABC组合为( )

A.ABC=000 B.ABC=010 C.ABC=101 D.ABC=110

13.已知某电路的真值表如下,该电路的逻辑表达式为( )。

A. B. C.D.

14.四个触发器组成的环行计数器最多有( )个有效状态。

A.4

B. 6

C. 8

D. 16

三、判断说明题(本大题共2小题,每小题5分,共10分)

(判断下列各题正误,正确的在题后括号内打“√”,错误的打“×”。)

1、逻辑变量的取值,1比0大。()

2、D/A转换器的位数越多,能够分辨的最小输出电压变化量就越小()。

3.八路数据分配器的地址输入(选择控制)端有8个。()

4、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。()

5、利用反馈归零法获得N进制计数器时,若为异步置零方式,则状态S N只

是短暂的过渡状态,不能稳定而是立刻变为0状态。()

6.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。()

7.约束项就是逻辑函数中不允许出现的变量取值组合,用卡诺图化简时,可将约束项当作1,也可当作0。()

8.时序电路不含有记忆功能的器件。()

9.计数器除了能对输入脉冲进行计数,还能作为分频器用。()

10.优先编码器只对同时输入的信号中的优先级别最高的一个信号编码.()

四、综合题(共30分)

1.对下列Z函数要求:(1)列出真值表;(2)用卡诺图化简;(3)画出化简后的逻辑图。(8分)

Z=

BC=0

(1)真值表(2分)(2)卡诺图化简(2分)(3) 表达式(2分)逻辑图(2分)

2.试用3线—8线译码器74LS138和门电路实现下列函数。(8分)

Z(A、B、C)=AB+C

3 / 4

3.74LS161是同步4位二进制加法计数器,其逻辑功能表如下,试分析下列电路是几进制计数器,并画出其状态图。(8分)

74LS161逻辑功能表

4.触发器电路如下图所示,试根据CP 及输入波形画出输出端Q 1 、Q 2 的波形。设各触发器的初始状态均为“0”(6分)。

2010-2011学年第二学期数字电路试卷

计算机与信息学院 杨萍

一、填空题(每空1分,共20分)

1. 147 , 93

2. 高阻 3. 高电平或悬空 4. 高 5. =

6. 7

7. 5 , 3.6 ,0.35 , 3—18 8. 10111111 9. 11 ,16

10. 100 11. Y 1=A B ; Y 2=A B + A B ;Y 3=A B 13. 5 14.低 CP

“1” “1” “1”

1

2. =ABC = m 3

4.Q 1、Q 2的波形各3分。

Z

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

自动控制原理实验报告——合肥工业大学

实验一典型环节的模拟研究 一、实验要求 了解和掌握各典型环节的传递函数及模拟电路图,观察和分析各典型环节的响应曲线。 二、实验原理(典型环节的方块图及传递函数) 三.实验内容及步骤 在实验中欲观测实验结果时,可用普通示波器,也可选用本实验机配套的虚拟示波器。如果选用虚拟示波器,只要运行LCAACT程序,选择自动控制菜单下的典型环节的模拟研究实验项目,再选择开始实验,就会弹出虚拟示波器的界面,点击开始即可使用本实验机配套的虚拟示波器(B3)单元的CH1测孔测量波形。具体用法参见用户手册中的示波器部分。 1.观察比例环节的阶跃响应曲线 典型比例环节模似电路如图1-1-1所示。该环节在A1单元中分别选取反馈电阻R1=100K、200K来改变比例参数。 实验步骤:注:‘S ST’不能用“短路套”短接! (1)将信号发生器(B1)中的阶跃输出0/+5V作为系统的输入信号(Ui)。(2)安置短路套、联线,构造模拟电路: (a)安置短路套

(b)测孔联线 (3)虚拟示波器(B3)的联接:示波器输入端CH1接到A6单元信号输出端OUT (Uo)。 注:CH1选‘X1’档,CH2置‘0’档。 (4)运行、观察、记录: 按下信号发生器(B1)阶跃信号按钮时(0→+5V阶跃),用示波器观测A6输出端(Uo)的实际响应曲线Uo(t),且将结果记下。改变比例参数(改变运算模拟单元A1的反馈电阻R1),重新观测结果,其实际阶跃响应曲线见表1-1-1。 当R1=200K的电路与相应曲线

当R1=100K的电路与相应曲线

2.观察惯性环节的阶跃响应曲线 典型惯性环节模似电路如图1-1-2所示。该环节在A1单元中分别选取反馈电容C =1uf、2uf来改变时间常数。 实验步骤:注:‘S ST’不能用“短路套”短接! (1)将信号发生器(B1)中的阶跃输出0/+5V作为系统的信号输入(Ui)。(2)安置短路套、联线,构造模拟电路: (a)安置短路套 (b)测孔联线

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

合肥工业大学EDA课程总结报告

EDA课程总结报告 一、EDA技术简介 1.EDA技术的概念 EDA即Electronic Design Automation的缩写,直译为:电子设计自动化EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。 2.EDA技术的目的和意义 EDA技术以规模巨大的可编程逻辑器件(PLD)作为进行电子设计的载体,硬件描述语言(HDL)作为系统逻辑描述的一种主要表达方式,通过它来完成对系统逻辑的描述,再依托具有强大功能的计算机,通过运用与EDA 技术相应的工具软件,完成电子系统的自动化设计。这种技术的应用使设计人员得以高效快速地完成设计任务,使设计所用周期时间得以缩短,减少了设计所需的投入成本。20 世纪70年代由于计算机及集成电路的急剧发展,使电子技术受到剧烈的冲击,其更新换代的周期不断缩减,而专用的集成电路却不断提升其设计难度,致使两者之间的矛盾逐渐扩大,这就使得电子技术要不断地更新,从而满足电子产品生产的需要,经过近几十年的发展,电子设计技术大致经历了三个主

要的发展阶段,从初期的CAD 阶段到CAE 阶段再到现在的EDA 阶段,电 子设计技术取得了飞跃性的发展。EDA技术最特别之处在于它的设计流程,与传统自下而上的电子设计流程恰恰相反,EDA技术选择使用自上而下的设计流程,它从电子系统设计的整体出发,在进行设计之前就将系统中各部分之间的结构规划好,在对方框图进行划分时完成相关的仿真和纠错工作,使用HDL 对高层次逻辑进行描述,并运用综合优化方法完成所有有关工作,然后通过使用EDA 技术,可以帮助用户实现对系统中任意一项硬件功能进行系统描述,最后再利用现场可编程门阵列(FPGA)或复杂可编程逻辑器件(CPLD)来实现电子系统设计的结果。这种先进的电子技术有效地解决了传统电子设计技术的弊端,减少了实际应用中出现故障的几率,从而使设计效率得以大幅度提升。 二、EDA技术发展现状 EDA 技术发展迅猛, 逐渐在教学、科研、产品设计与制造等各方面都发挥 着巨大的作用。 在教学方面: 几乎所有理工科( 特别是电子信息) 类的高校都开设了EDA 课程。主要是让学生了解EDA 的基本原理和基本概念、掌握用VHDL 描述系 统逻辑的方法、使用EDA 工具进行电子电路课程的模拟仿真实验。如实验教学、课程设计、毕业设计、设计竞赛等均可借助CPLD/ FPGA 器件, 使实验设备或设计出的电子系统具有高可靠性, 又经济、快速、容易实现、修改便利, 同时可大大提高学生的实践动手能力、创新能力和计算机应用能力。 在科研方面: 主要利用电路仿真工具进行电路设计与仿真; 利用虚拟仪器进行产品调试; 将CPLD/ FPGA 器件的开发应用到仪器设备中, CPLD/ FPGA 可

最新合肥工业大学硕士研究生初试自动控制原理试题及答案

合肥工业大学2011年硕士研究生初试自动控制原理试题及答 案

合肥工业大学2011年硕士研究生初试专业课笔试试 题参考答案和评分标准 一.填空题(28分) 每空2分 1.系统分析与设计、系统仿真、系统预测或预报 。 2.保持不变 3.(3)2 (4)1 (5)10 4.(6)1t (7 )4 t 5.左 6.(9)减小 (10)增加 7. T 8.幅频高频衰减特性 9.22x x x 10.(2) 2(1) 2() (1) ()c k c k c k r k r k 二.(18分) 1.图略(8分) 2.2 21 2() (4)3 s s s g s g (6分) 3.13g =,21g =(4分) 三.(18分) 1.开环传递函数4 ()(1) G s s s = +,0.25,2n ξω==

0.5()1 1.03sin(1.9475.5)t h t e t -=-+ 0.5()1 1.03sin(1.9475.5)t h t e t -=-+ %44.4%σ= 3 6s n t ξω= =秒(12分) 2.16(1) () 5.6 c s G s s += +(6分) 四.(18分) 1.*2 (1) 10(0.5)K s s s ++=+(10分) 2.3 2 10(2)as s +=+(8分) 五.(15分) 2ω=时,()G j ωπ∠=- |()|2 K G j ω= 系统稳定时,|()|12 K G j ω= < 2K < 六.(20分)略 七.(18分) 211322()() ()() ()()() ()() () ()() E z R z G H z D z D z G z E z H z B z B z G H z D z 121322() ()[()()()]()()() D z G z R z G H z D z H z G H z D z (9分)

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

合肥工业大学数理统计试题

合肥工业大学07级硕士研究生数理统计课程考试试卷(闭卷) 姓名: 学号: 班级: 分数: 一、选择题:(以下的四个选择中仅有一个正确,每题3分,共15分) 1.设随机变量~()X f x (密度函数),且对任意,()()x f x f x -=,若{}P X u αα≥=,则对满足: {}P X a α<=的常数a =( ) A. u α B. 1u α- C. 1 (1) 2 u α- D. 1 12 u α- 2.在假设检验中,记1H 是备择假设,则我们犯第二类错误是( ) A. 1H 为真时,接受1H . B. 1H 不真时,接受1H . C. 1H 为真时,拒绝1H . D. 1H 不真时,拒绝1H . 3. 设15,,X X 为总体X σ2 ~N(0,)的样本,则统计量22 12323(2)(3)a X X b X X X θ=-+-+的分布及 常数应该为( ) A. a=-1, b=3, ~(2)t θ B. a=5, b=11 2~(2)θχ C. a= 2 15σ , b= 2 111σ 2~(2)θχ D. a= 2 15σ , b= 2 111σ ~(1,2)F θ 4. 设?θ是θ的无偏估计,且()0,D θ>则22?θθ是的( ) A. 无偏估计 B . 有效估计 C . 相合估计 D .以上均不正确. 5. 方差分析的基础是________ A . 离差平方和分解公式. B. 自由度分解公式. C. 假设检验. D. A 和B 同时成立. 二、填空题:(在以下的空中填入正确的结果,每题3分,共15分) 1. 设总体X 的一样本为:2.1, 1.5, 5.5, 2.1, 6.1, 1.3 则对应的经验分布函数是: * ()n F x =??????? . 2. 设1.3 0.6 1.7 2.2 0.3 1.1 是均匀分布U(0,θ)总体中的简单随机样本,则总体方差的最大似然估 计值为_______________.

085210 控制工程领域硕士专业学位标准 - 合肥工业大学电气与自动化 ...

085210 控制工程领域硕士专业学位标准 (2017年6月21日校学位评定委员会审议通过) 1 专业基本情况 控制工程领域研究控制工程学科的控制理论及其应用;研究包括现代工业、社会生活的各个领域,实现自动化所需的理论与方法、基础技术和专业技术。培养的研究生具有“强弱(电)结合、软硬(件)兼施”的特点,掌握坚实的控制理论、计算机、网络、通讯等知识,掌握控制系统、自动化系统的设计、调试、运行和维护所需的先进技术和方法,具有合理的知识结构和较强的国际竞争力。本专业具有一支职称和年龄配备合理、学术水平高、科学研究和工程实践能力强、经验丰富的学术队伍。承担多项国家自然科学基金研究课题、省部级攻关和基金课题及多项技术研发和工程项目。科研经费充足,学术氛围浓厚,实验条件优越。发表了大量的高水平的科技论文,并多次获得国家和省部级科技进步奖励。 主要研究方向: (1)控制理论及应用; (2)运动控制系统; (3)工业过程控制; (4)现代检测技术; (5)智能系统及装备; (6)模式识别技术; (7)系统工程技术。 2 应具备的职业精神和职业素养 控制工程领域工程硕士专业学位研究生应具有社会责任感和历史使命感,维护国家和人民的根本利益。 具有科学精神,掌握科学的思想和方法,坚持实事求是、严谨勤奋、勇于创新,富有合作精神,能够正确对待成功与失败。 遵守科学道德、职业道德和工程伦理,爱岗敬业,诚实守信,恪守学术道德规范,尊重他人的知识产权,杜绝抄袭与剽窃、伪造与篡改等学术不端行为。 具有良好的身心素质和环境适应能力,富有合作精神,既能正确处理国家、单

位、个人三者之间的关系,也能正确处理人与人、人与社会及人与自然的关系。 掌握控制工程领域的基础理论、先进技术方法和现代技术手段,了解本领域的技术现状和发展趋势,在本领域的某一方向具有独立从事工程设计与运行、分析与集成、研究与开发、管理与决策能力;能够胜任控制工程领域高层次工程技术和工程管理工作;具有创新创业能力。 3 应掌握的基本知识 基本知识包括公共基础知识和专业知识,涵盖本领域相关任职资格涉及的主要知识点。 3.1 公共基础知识 公共基础知识包括可选的高等代数、矩阵理论、随机过程与排队论、计算方法、应用泛函分析、数值分析、优化理论与方法等数学知识及相关物理知识;中国特色社会主义理论与实践研究、自然辩证法、外语、管理与法律法规、计算机应用等人文社科知识。 3.2 专业知识 具备本领域坚实的基础理论和系统的专门知识,系统地掌握控制理论、系统建模、信号检测与处理、运动控制、过程控制、图像处理、模式识别、电力电子、电路设计、计算机应用等基础理论和专门知识;熟悉相应的工具软件和开发平台应用软件,如Matlab、Protel、Labview、C语言编程等。 知识体系所涵盖的课程体系由必修课和选修课两部分组成,其中,必修课包括政治理论、外语等公共课,知识产权、信息检索、工程数学类课程等基础理论课,专业必修课和专业选修课等。必修课的学分不少于18学分,总学分不少于32学分;学分及课程的具体要求,参见合肥工业大学控制工程领域硕士专业学位研究生培养方案。 4 应具备的基本能力 4.1 获取知识能力 本领域工程硕士学位获得者应具备很强的自学,即自我更新和补充知识的能力;能借用相关方法和途径获得各种载体的知识素材,并通过学习、合理分类归档、比较与分析、综合与归纳、提取与再制,形成为己所用的知识。 4.2 应用知识解决工程问题的能力 具有运用专门知识和综合多学科知识解决实际工程应用中有关技术或管理问题

合肥工业大学《电力电子技术》试卷A及答案

《电力电子技术》课程期末考试试题(A) 合肥工业大学计算机与信息学院 一.填空(每空1分,36分) 1、请在正确的空格内标出下面元件的简称: 电力晶体管;可关断晶闸管;功率场效应晶体管;绝缘栅双极型晶体管;IGBT是和的复合管。 2、晶闸管对触发脉冲的要求是、和 。 3、多个晶闸管相并联时必须考虑的问题,解决的方法是。 4、在电流型逆变器中,输出电压波形为波,输出电流波形为波。 5、型号为KS100-8的元件表示晶闸管、它的额定电压为伏、额定有效电流为安。 6、180°导电型三相桥式逆变电路,晶闸管换相是在_ 上的上、下二个元件之间进行;而120o导电型三相桥式逆变电路,晶闸管换相是在_ 上的元件之间进行的。 7、当温度降低时,晶闸管的触发电流会、正反向漏电流会;当温度升高时,晶闸管的触发电流会、正反向漏电流会。 8、在有环流逆变系统中,环流指的是只流经、 而不流经的电流。环流可在电路中加来限制。为了减小环流一般采控用控制角αβ的工作方式。 9、常用的过电流保护措施有、、 、。(写出四种即可) 10、双向晶闸管的触发方式有、、、、 四种。 二.判断题,(每题1分,10分)(对√、错×) 1、在半控桥整流带大电感负载不加续流二极管电路中,电路出故障时会出现失控现象。 () 2、在用两组反并联晶闸管的可逆系统,使直流电动机实现四象限运行时,其中一组逆变 器工作在整流状态,那么另一组就工作在逆变状态。() 3、晶闸管串联使用时,必须注意均流问题。() 4、逆变角太大会造成逆变失败。() 5、并联谐振逆变器必须是略呈电容性电路。() 6、给晶闸管加上正向阳极电压它就会导通。()

合肥工业大学数字电路习题

2010-2011学年第二学期数字电路试卷 计算机与信息学院杨萍 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(),作为8421BCD码时,它相当于十进制数()。 2.三态门电路的输出有高电平、低电平和()3种状态。 3.TTL与非门多余的输入端应接()。 4.TTL集成JK触发器正常工作时,其和端应接()电平。 5. 已知某函数,该函数的反函数=()。 6. 如果对键盘上108个符号进行二进制编码,则至少要()位二进制数码。 7. 典型的TTL与非门电路使用的电路为电源电压为()V,其输出高电平为()V,输出低电平为()V,CMOS电路的电源电压为()V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出应为()。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM。该ROM有()根地址线,有()根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为()位。 11. );Y3 =()。 12. 某计数器的输出波形如图1所示,该计数器是()进制计数器。13.驱动共阳极七段数码管的译码器的输出电平为()有效。二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC的最小项表达式为( ) 。 A.F(A,B,C)=∑m(0,2,4) B. (A,B,C)=∑m(3,5,6,7) C.F(A,B,C)=∑m(0,2,3,4) D. F(A,B,C)=∑m(2,4,6,7) 2.8线—3线优先编码器的输入为I0—I7,当优先级别最高的I7有效时,其输出的值是()。 A.111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有()个。 A.16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是()。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E1=1,E2A = E2B=0)时,地址码A2A1A0=011,则输出Y7 ~Y0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A.15 B.8 C.7 D.1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A.八 B. 五 C. 四 D. 三 10.已知某触发的特性表如下(A、B A. Q n+1=A B. C. D. Q n+1= B

合肥工业大学数理统计期末试卷往年收集

1.设随机变量 ~()X f x (密度函数),且对任意,()()x f x f x -=,若{}P X u αα≥=,则对满足: {}P X a α<=的常数a =( ) A. u α B. 1u α- C. 1 (1) 2u α- D. 112 u α- 2.在假设检验中,记1H 是备择假设,则我们犯第二类错误是( ) A. 1H 为真时,接受1H . B. 1H 不真时,接受1H . C. 1H 为真时,拒绝1H . D. 1H 不真时,拒绝1H . 3. 设 15,,X X 为总体X σ2~N(0,)的样本, 则统计量22 12323(2)(3)a X X b X X X θ=-+-+的分布及常数应该为( ) A. a=-1, b=3, ~(2)t θ B. a=5, b=11 2~(2)θχ C. a= 2 15σ, b= 2111σ 2 ~(2)θχ D. a=2 15σ, b= 2 1 11σ ~(1,2)F θ 4. 设?θ 是θ的无偏估计,且()0,D θ>则2 2?θθ是的( ) A. 无偏估计 B . 有效估计 C . 相合估计 D .以上均不正确. 1. 设总体X 的一样本为:2.1, 1.5, 5.5, 2.1, 6.1, 1.3 则对应的经验分布函数是: * ()n F x =? ??? ??? . 2. 设 1.3 0.6 1.7 2.2 0.3 1.1 是均匀分布U(0,θ)总体中的简单随机样本,则总体方差的最大似然估计值为 _______________. 3. 设* ()()n F x F x 、分别是总体X 及样本12,,,n X X X 的分布函数与经验分布函数,则格列汶科定理指出:在样本容 量n →∞时,有 , 4. 若非线性回归函数b x ae y - +=100(0>b ),则将其化为一元线性回归形式的变换为________________________. 5. 设 12,,,n X X X 是X 的样本,当方差2 σ未知时,且样本容量很大(n>50)时,则对统计假设: 0010:,:H H μμμμ≥<,0H 的拒绝域是:

合肥工业大学金工笔试试题

金工试卷一 一、填空 1、钢的普通热处理主要包括正火、退火、淬火和回火四种。 2、手工制造的方法有整模造型、分模造型、挖沙造型和活块造型等。 3、浇注系统是为填充型腔和胃口而开设于铸型中的一系列通道,一个 完整的浇注系统通常由外浇口、直浇道、横浇道、内浇道组成。 4、砂型铸造要考虑的工艺因素有分型面、机械加工余量、起模 斜度、收缩余量等。 5、常用的特种铸造方法有熔模铸造、壳型铸造、消失模铸造和压力铸 造等。 6、铸造前对料进行加热的目的是提高塑性、降低变形抗力,以改善其 可锻性。 7、铸造前对材料进行加热时,可能出现的缺陷有氧化、过热、过烧、 脱碳和心部裂纹。 8、自由锻最常用的基本工序有锻粗、拔长、冲孔、弯曲等。 9、模型铸造主要用于大批大量(单件小批,大批大量)生产。 10、焊接空间位置有平焊、立焊、横焊、仰焊等四种。 11、焊条的焊心主要作用是导电和填充金属,而药皮的主要作用是 机械保护和冶金处理等。 12、焊接工艺参数主要有焊条直径、电弧电压、焊接速度等。 13、C6132型普通车床所能加工工件的最大直径为 320mm,你在14、 实习中使用的车床共有12(或24)种转速。 15、卧式车床的主要组成有床身、变速箱、主轴箱、进给箱、溜板箱和 刀架等。16、B6050中的B表示刨床、60表示牛头刨床、50表示刨削工件 最大直径的1\10即500mm。 17、在铣床上可加工各种平面、沟槽、垂直面、齿轮和成形面等。 18、分度头是铣床上的主要附件,铣削六角螺母上的六个平面时, 分度头上的分度手柄应转过20/3圈。 19、锉平面的方法主要有顺锉、交叉锉和推锉,其中顺锉适应最后精锉。 20、手锯由锯弓和锯条两部分组成。 21、孔的加工方法有钻孔、锉孔、铰孔、镗孔、拉孔和扩孔等。 22、常用的电工工具有验电器、尖嘴钳、螺丝刀等。 23、砂型铸造的手工造型工艺过程主要包括造型(芯)、合型、浇注及 落沙和清理等。 24、锻压的生产方法主要包括自由锻、模型铸造、胎膜锻、板料冲压等。 25、牛头刨床上进行刨削时,主运动是刨刀(刀具的往复运动),进给 运动是工件做间隙进给。 26、国际电工委规定安全电压是50。 27、数控机床的对刀是为了获得刀尖在标准坐标系上的坐标值从而编程 28、数控线切割加工时,工件按规定的程序做符合的进给运动。 29、常用的量具有百分表、千分尺、游标卡尺和塞规等。 30、齿轮的齿形加工方法有展成法和成形法。 31、数控机床的程序编制方法有手工编程和自动编程两种。 32、按所用电流频率的不同,感应淬火可分为高频感应淬火、 中频感应淬火和工频感应淬火。 33、按热处理目的的加热条件和特点不同,分为整体热处理、 表面热处理、化学热处理。 34、表面热处理的特点是对工件表层热处理,以改变表层组织 和性能常用的方法有火焰淬火,感应淬火。 35、虽然热处理方法较多,但都是由加热 、保温和冷却三个阶段组成。

合肥工业大学

合肥工业大学 / 专业名称:080902 电路与系统 移除该条 向年份招生人数导师姓名考试科目招生类别学历层次备注式系统综 试 设计与验 信号系统 程器件与计 系统设计2010 10 梁华国,解光军,叶兵,黄 英,张多利,尹勇生,易茂 祥,刘士兴,许高斌,杜高 明 1.政治理论 2.英语一或日语 3.数学(一) 4.数字逻辑电路 普通统招硕士研究生 合肥工业大学 / 专业名称:080903 微电子学与固体电子学 移除该条 向年份招生人数导师姓名考试科目招生类别学历层次备注电路设计 电子器件 功能材料 材料与传 子机械系与应用 显示技术2010 38 解光军,杨明武,梁华国, 何晓雄,黄英,叶兵,揭建 胜,梁齐,易茂祥,许高斌, 刘士兴,张多利,张鉴,尹 勇生,丁勇,展明浩,杜高 明 1.政治理论 2.英语一或日语 3.数学(一) 4.半导体物理及器件物 理 普通统招硕士研究生 江南大学 / 信息学院专业名称:080903 微电子学与固体电子学 移除该条 向年份招生人数导师姓名考试科目招生类别学历层次备注子系统设计 集成电路与计2010 10 ①101政治 ②201英语 ③301数学一 ④810半导体物理(含半 普通统招硕士研究生 复试科目:模拟电子技术 同等学历加试科目:1.电 2.数字电子技术

合肥工业大学 / 专业名称:080904 电磁场与微波技术 移除该条 合肥工业大学 / 专业名称:081001 通信与信息系统 移除该条 合肥工业大学 / 专业名称:081001 通信与信息系统 移除该条 合肥工业大学 / 专业名称:081001 通信与信息系统 移除该条

13会计学(升)管理统计学 A卷

函授站名:专业年级 13会计学层次专升本学号学生姓名成绩 一、单项选择(每小题2分,合计20分。将正确答案的代号填入题后的括号中) 1、指出下列品质标志() A.、工人工龄B、教师职称C、男生人数D、工人工资 2、指出下列质量指标() A、工业产值 B、国民生产总值 C、GDP D、劳动生产率 3、指出下列连续变量() A、企业设备数 B、在校学生数 C、化肥产量 D、汽车产量 4、某企业劳动生产率计划要求提高10%,实际提高了20%。则劳动生产率计划完成百分比为() A、200% B、50% C、110% D、109.09% 5、调查大庆、胜利等几个主要油田来了解中国石油生产的基本情况,这种调查方式属于() A、重点调查 B、普查 C、抽样调查 D、典型调查 6、在全距一定的条件下,组距大小与组数多少() A、成正比例关系 B、成反比例关系 C、不成比例关系 D、以上都不对 7、某企业三种产品报告期与基期比较,价格上涨了2%,销售量增长了5%,则销售额增加了() A、7.1% B、7% C、10% D、3% 8、在总体方差一定的条件下,要使抽样平均误差减少一半,则样本单位数() A、减少一半 B、是原来的1倍 C、是原来的2倍 D、是原来的4倍 9、对某市100个工业企业全部职工的工资状况进行调查,则调查单位是() A、每个企业 B、每个职工 C、每个企业的工资总额 D、每个职工的工资水平 10、在用按季平均法测定季节比率时,各季的季节比率之和应等于() A、100% B、120% C、400% D、1200% 二、简答题(18分) 1、什么是质量指标?并举例说明(10个)。(6分) 2、什么抽样误差?影响抽样误差的因素有哪些?(6分) 3、什么是变异指标?变异指标有什么作用?(6分) 三、多项选择(每小题3分,合计12分) 1、统计的基本涵义是() A、统计资料 B、统计学 C、统计调查 D、统计整理 E、统计活动 F、统计分析 2、GDP是() A、质量指标 B、数量指标 C、总量指标 D、平均指标 E、时期指标 F、时点指标 3、指出下列时点指标() A、工业产值 B、存款余额 C、人口数 D、生猪存栏数 E、工资总额 F、土地面积 4、指出下列平均指标() A、人均国民收入 B、居民人均收入 C、劳动生产率 D、商品价格 E、职工平均工资 F、单位成本 四、填空题(每空1分,合计10分) 1、数量指标的表现形式为()。 2、重复抽样误差()不重复抽样误差 3、完全相关相关系数=(),r>0, b ( ) 4、编制数量指标综合指数,以()指标为同度量因素;编制质量指标综合指数,以()指标为同度量因素。 5、由平均数计算平均数时,已知基本公式分子资料,用();已知基本公式分母资料,用() 6、划分连续变量组限时,相邻组组限须(),且遵循()的原则

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

合肥工业大学模电数电题库1

3,测得放大电路中三只晶体管的直流电位如图示,分析他们的类型、管脚和所用的材料(硅或错)。判断依据: 1 ?在放大区,NPN管:V C>V B>V E 2.硅管:V BE=0. 7V PNP管:V C

4,测出电路中晶体管三个电极对地的电位,判断其工作状态。 V BE=0?7V V C >V B >V E 12V 2V 1/ 3V 截止 3.3V 3斗3V 放大 V BE=0?7V V CE=0.3VV B>V E, PNP 管: 且V B E〉%放大状态= V CVth

例题: ?放大电路在高频信号作用时放大倍数数值下降的原因是而低频信号作用时放大倍数数值下降的原因是—O A.耦合和旁路电容的存在 B.晶体管极间电容和分布电容的存在 C.晶体管的非线性特性 D.放大电路的静态工作点不合适 ?当信号频率等于放大电路的上、下限频率 时,放大倍数的值约下降到中频时的_ O

?对于单管共射放大电路,当其工作频率等于上限频率时,输出电压与输入电压之间的相位关系是_ B 。当其工作频率等于下限频率时,输出电压与输入电压之间的相彳立头索是C 。 A. +45° B. -225° C.-1350 D.-450 ?测试放大电路输出电压幅值与相位的变化, 奇以得到它的碱率响应,条件是—A A.输入电压幅值不变,改变频率。 B输入电压频率不变,改变幅值。

合肥工业大学概率论与数理统计专业学术型硕士研究生培养方案

合肥工业大学概率论与数理统计专业学术型硕士研究生培养方案 1. 所属学院:数学学院学科、专业代码:概率论与数理统计、070103 获得授权时间:2011年 2.学科、专业简介(400字以内) 概率论与数理统计是数学一级学科下的一个二级学科,本学科是2011年获批数学一级学科硕士学位授予权后,即获概率论与数理统计二级学科硕士学位授予权,2012年开始招收、培养本学科硕士研究生。概率论与数理统计学科研究各种随机现象的本质与内在规律性以及在自然科学、社会科学、工程技术等领域中,如何有效地收集、分析、解释数据,以提取信息、建立模型并进行统计推断和预测,为寻求规律和做出决策提供科学依据。通过多年的研究积累,本学科形成了目前的统计建模与数据分析、随机动力系统、风险决策等特色方向,承担多项省部级以上的科研项目, 包括国家自然科学基金项目、国家社会科学基金重点项目、教育部人文社科基金项目、国家统计局科研项目及安徽省自然科学基金项目等,取得了一批富有特色的研究成果。 3.培养目标(150字以内) (1).热爱祖国、遵纪守法,拥护党的各项路线、方针、政策, 牢固树立社会主义核心价值观,具有良好的道德品质,团结协作、学风严谨、品行端正。 (2).掌握概率论与数理统计的基本思想、理论与方法,了解所研究的学科(方向)领域国内外最新的发展现状和趋势,能够运用所学的知识和技能分析和解决实际问题,使学生毕业后具有在科研机构、高等学校、企事业单位从事科研、教学、数据分析等工作的能力。 (3).具有健康的体魄和和良好的心理素质。 4. 主要研究方向(3-5个) (1)统计建模与数据分析 (2)随机动力系统 (3)风险决策 5. 学制及学分 硕士研究生学制2.5年;课程规定总学分为28-32学分,学位课程学分为16-18学分。跨专业及同等学力研究生需补修本科阶段至少两门主干课程,所修学分不计入课程总学分。

相关文档
最新文档