数字逻辑课程设计报告(猜数字游戏)

数字逻辑课程设计报告(猜数字游戏)
数字逻辑课程设计报告(猜数字游戏)

滁州学院

课程设计报告

课程名称:数字逻辑课程设计

设计题目:猜数字游戏的设计

院部:计算机与信息工程

专业:网络工程

组别:第五组

起止日期: 2012年5月28日~2012年6月15日

指导教师:张永定

计算机与信息工程学院二○一二年

课程设计任务书

课程设计题目猜数字游戏的设计

组长严盼盼学号2011211329 班级网工112班院部计算机与信息工程专业网络工程

组员武倩闫静周晶晶夏艾

指导教师张永定

课程设计目的1.设计一个具有用保存用来进行比较的数据,输入新的数据通过比较器与保存的数据进行比较,用计数器进行计数统计功能的电路。

2.通过此次实验加深对所学数电知识的运用。

3.锻炼Multisim 10的仿真能力和硬件调试能力。

课程设计所需环境硬件环境:微型计算机

软件环境:Windows XP Multisim 10

课程设计任务要求1.猜数字游戏由若干个按键、若干个发光二极管。

2.输入为六位二进制数,与正确值进行比较,判断得出结果。

3.如果出现连续5次猜错,则红灯亮,表示游戏结束。

4.游戏开始时,可以对存储值进行设置。

课程设计工作进度计划

序号起止日期工作内容分工情况

1 5.28~6.

2 课程内容介绍和查找资

料严盼盼:介绍课程内容

武倩、闫静、周晶晶、夏艾:查找资料

2 6.3~6.6 设计总体思路,基本原

理和框图

严盼盼:分析数字电路所需的芯片

3 6.7~6.10 单元电路设计严盼盼:对电路进行总设计

武倩、闫静、周晶晶:负责了解各芯片功能

夏艾:负责连接线路

4 6.11~6.12 搭建仿真电路并进行测

试,调试电路严盼盼、周晶晶:搭建电路

武倩、闫静、夏艾:故障分析并进行改进

5 6.13~6.15 整理文档与总结武倩:负责整理文档

指导教师签字:年月日

系(教研室)审核意见:

系(教研室)主任签字:年月日

目录

1 引言 (4)

2 需求分析 (4)

2.1.题目 (4)

2.2.设计要求与目的 (4)

2.3.设计思想概述 (4)

2.3.1器件选择 (4)

2.3.2系统分析 (5)

2.4运行环境 (5)

3 概要设计 (5)

4 详细设计 (6)

4.1完成此实验需要的芯片及其功能 (6)

4.2实验步骤 (8)

5 调试与操作说明 (9)

6 课程设计总结与体会 (13)

7致谢 (13)

8 参考文献 (14)

9 附录 (14)

1 引言

当今社会中,数字时代已经成为一种现实,并且无时无刻不在影响着人们的日常生活,作为数字世道最基本的课程——数字电子电路,更无疑具有着基础的作用,而数字电路课程设计便是培养这种能力,掌握这门课程的一种很好的实践,更是对培养学生理论联系实际的实际动手能力,严谨的实验作风有着重要的意义。数字游戏设计作为近年来快速发展的新兴学科,具有前沿性、交叉性等特征,具有其自身的技术要求、艺术特性和创新规律,具有成熟的学科基础和明确的学科定位,已经具备设立独立专业的基本条件。而笔者立足于《数字逻辑》这门课程的知识体系,力求通过本学科的一些知识对猜数字游戏显示电路进行模拟和作出一些分析改进。

希望通过本次设计实践,达到三个目的,一是用已学的知识对猜数字游戏控制电路进行详尽的分析与模拟;二是锻炼自己的动手实践能力;三是在设计进行中进行模块划分,培养我们的模块化设计意识,同时加强团队合作能力。

2 需求分析

2.1 题目:猜数字游戏的设计

2.2 设计要求与目的:

⑴猜数字游戏由若干个按键、若干个发光二极管。

⑵输入为六位二进制数,用单刀双掷开关来输入,当输入结束后,按确认键进行比较,如果与存储的数字相同则绿灯亮,如果输入比存储值大,则蓝灯亮,如果输入比存储值小,则黄灯亮,可以继续输入数字并验证。

⑶如果出现连续5次猜错,则红灯亮,表示游戏结束。

⑷戏开始时,可以对存储值进行设置分析。

2.3 设计思想概述:

2.3.1器件选择

⑴放置8个单刀双掷开关:其中6个开关既用来送值给寄存器,又同时完成输值猜数功能;再用一个开关来实现上升沿触发完成送数功能;有一个开关也是实现上升沿触发实现计数统计功能,同时此开关通过上升沿的触发将比较器中的比较结果送到存储器中(开关的一次打开就完成一次猜值的输入比较并实现上升沿的触发完成计数)。

⑵需对正确数字(也就是存储值、拟定为48)的存储,所以采用基本寄存器(4边沿D触发器74LS175D)对数据48进行存储;由于是6位二进制数,所以需用2个基本寄存器进行级联使用。

⑶要完成存储数与所猜数的比较判断,所以采用4位数值比较器(74LS85N);同时是6位二进制数与6位二进制数之间的比较,所以需用2个4位数值比较器进行级联使用。

⑷需对所猜值次数的统计,所以采用4位二进制同步加法计数器(74161N)来完成计数功能。

⑸分别用三个灯泡(蓝绿黄各一个)来显示输值与存储值得大小关系,再用一个红灯泡来判断是否猜错5次。(电路中又外加4个橙色的灯泡来显示计数时的逐步累加情况)。

⑹用一个与门来连接到计数器上OA与OC的引脚上,来集成数值5(101)。

⑺ 用一个非门来连接绿灯和计数器上的端,因为一旦绿灯亮表明猜对,计数器就没有必要显示,让其清零,而绿灯不亮通过非门的取反作用就保证了CLK 端接高电平,具有计数功能。

⑻ 因为猜值的过程中,应按照先猜数计数最后再显示结果的过程,所以在放置一个基本寄存器(4边沿D 触发器74LS175D )在比较器的输出端,这样开关Key=Y 由低电平到高电平就先完成了猜数值的计数,再实现了两值的比较。

2.3.2系统分析

用开关Key=6、5、4、3、2、1分别存储由高到低存储6位二进制数。假如设置存储的数值为110000,则可能的结果有:

表2-1

2.4运行环境

软件环境:windows xp Multisim10 硬件环境:微型电脑

3 概要设计

整个系统包括控制单元、信息处理单元1、信息处理单元2、信息处理单元3、存储单元和显示单元五个逻辑部分。控制单元有:开关;信息处理单元1有:计数器和非门;信息

Y 6 5 4 3 2 1 S 灯泡颜色 猜字结果

说明

0 1 1 0 0 0 0

值未送入寄存器,比较器中A=48,B=0 0

1 1 0 0 0 0 0->1

值送入寄存器,比较器中A=48,B=48 0->1 1 1 1 0 0 0 1 蓝灯

偏大 猜错了 输值是56,比较器中A=56,B=48,计数器

加1,计为1 0->1 1 0 1 0 0 0 1 黄灯

偏小 猜错了 输值是40,比较器中A=40,B=48,计数器

加1,计为2 0->1 1 1 1 1 0 0 1 蓝灯

偏大 猜错了 输值是60,比较器中A=60,B=48,计数器

加1,计为3 1

0->1 0 1 0 1 0 0 1 黄灯

偏小 猜错了

输值是20,比较器中A=20,B=48,计数器

加1,计为4

0->1 1 0 0 1 1 1

1 黄灯

偏小

猜错了,累计5次,游

戏结束

输值是39,比较器中A=39,B=48,计数器

加1,计为5 2 0->1 1 1 0 0 0 0 1 绿灯

猜对了,游

戏结束

输值是48,比较器中

A=48,B=48,计数器

清零

处理单元2有:集成数值比较器和输入猜字的值的开关;信息处理单元3有:计数器;存储单元有:集成寄存器和输入存储值的开关;显示单元有:亮不同颜色的灯泡显示、猜字结果大小的显示、游戏结束的显示。

图3-1 系统总设计框图

正如图3-1系统框图所示,控制单元只执行两项任务:输入存储的数值和输入猜的数值;信息处理单元1:主要是对输入的次数进行统计;信息处理单元2:主要是对猜的数值和储存的数值进行比较大小;信息处理单元3:主要是控制显示单元的灯泡亮和灭,起到监督作用;存储单元:主要是将输入的数值储存起来并将其送到比较器中;显示单元则执行各个部分的显示功能,例如:猜的数值过大,则蓝灯亮,连续五次猜字过后红灯亮了,则表明游戏结束了。

4 详细设计

该猜数字游戏的设计是利用寄存器存储功能,比较器比较数据,计数器对比较结果进行统计,从而实现猜数字游戏的设计功能。

4.1完成此实验需要的芯片及其功能

74161N同步4位二进制加法计数器:CLK是输入计数脉冲,也就是加到各个触发器的时钟信号端的时钟脉冲,CLR是清零端;LOAD是置数控制端;ENP和ENT是两个计数器工作状态控制端;A-D是并行输入数据端;RCO是进位信号输出端;QA-QD是计数器状态输出端。如图4-1所示:

图4-1 同步加法计数器74161N 芯片引脚图

表4-1 同步加法计数器74161N 状态表

输 入

输 出

CLR

LOAD

ENP ENT CLK A B C D QA n+1

QB n+1

QC n+!

QD n+1

CO 0 0

清零 置数

0 ╳ ╳ ╳ ╳ ╳ ╳ ╳ ╳ 0 0 0 0 a b c d

计数 保持 保持

1 0 ╳ ╳ ↑* ╳ ╳ ╳ ╳ 1 1 1 1 ↑ ╳ ╳ ╳ ╳ 1 1 0 ╳ ╳ ╳ ╳ ╳ ╳ 1 1

74LS175D 的的寄存器:它在确定的时间(IS )内计数器的计数结果(被测信号频率)必须经寄存后才能获得稳定的显示值。寄存器的作用是通过触发脉冲控制,将测得的数据寄存起来,送显示译码器,寄存器为使数据稳定,最好采用边沿触发方式的器件。

图4-2 4边沿D 触发器74LS175D 芯片引脚图

如图4-2所示,在设计中我们采用了74LS175,74LS175是用四个D 触发器组成的四位寄存器,用以存储4位二进制数,在cp 上升沿到达时1D~4D 端状态被同时到各个触发器中,形成1Qn+1~4Qn+1状态。RD 为异步清零控制端。当RD=0时,不需要和cp 同步,就可以完成寄存器1Q~4Q 清零工作。 工作原理:

4边沿D 触发器74175,74LS175的状态表如表4-2所示:

表4-2 74LS175的状态表

输 入

输 出

注 置零 送数

CLR CTK 1D 2D 3D 4D

1Q n+1 2Q n+1 3Q n+1 4Q n+1

0 ╳ ╳ ╳ ╳ ╳ 1 ↑ d 1 d 2 d 3 d 4 0 0 0 0 d 1 d 2 d 3 d 4

⑴ 清零

CLR =0,异步清零。无论寄存器中原来的内容是什么,只要CLR =0,就立即通过异步

输入端将4个边沿D 触发器都复位到0状态。 ⑵ 送数

当CR=1时CLK 上升沿送数。无论寄存器中原来存储的数码是什么,在CLR =1时,只

要送数控制时钟脉冲CLK上升沿到来,加在并行数码端输入的数码d1~d4马上就被送入寄存器中,即

1Q n+1=d0

2Q n+1=d1 CLK上升沿时刻有效

3Q n+1=d2

4Q n+1=d3

⑶B保持

当CLR=1,CLK上升沿以外时间,寄存器保持内容不变,即各个输出端Q,Q的状态与d无关,都将保持不变。

用边沿D触发器做寄存器,其D端具有很强的抗干扰能力。

74LS85N比较器:比较方法,输出输入之间因果关系分析。从最高位开始比较,依次逐位进行,直到比较出结果为止。

①若A3 >B3,则A>B,L=1、G=M=0。

②当A3=B3即G3=1时,若A2>B2则A>B,L=1、G=M=0。

③当A3=B3、A2=B2即G3=G2=1时,若A1>B1则A>B,L=1、G=M=0。

④当A3=B3、A2=B2、A1=B1即G3=G2=G1时,若A0>B0则A>B,L=1、G=M=0。对A>B即L=1,上述四种情况是或的逻辑关系

⑤只有当A3=B3、A2=B2、A1=B1、A0=B0即G3=G2=G1=G0=1时,才会有A=B即G=1。显然,对于A=B即G=1,G3、G2、G1、G0是与的逻辑关系。

⑥如果A不大于B也不等于B,即L=G=0时,则A必然小于B,即M=1。

表4-3 74LS85N比较器状态表

输入输出A3 B3A2 B2A1 B1A0 B0A>B AB F A

A3>B3X ╳╳╳╳╳ 1 0 0 A3B2╳╳╳╳╳ 1 0 0 A3=B3A2B1╳╳╳╳ 1 0 0 A3=B3A2=B2A1B0╳╳╳ 1 0 0 A3=B3A2=B2A1=B1A0

4.2实验步骤

⑴运用一个SPDT开关和一个74LS04D非门组成一个脉冲信号,来完成一次输值和计数。

⑵运用两个74LS85N芯片4位数值比较器级联组成一个8位集成数值比较器来实现6位二进制数的比较,再运用三个蓝绿黄不同颜色的灯泡表示比较得到的结果。

⑶运用两个级联组成一个8位集成寄存器,用来寄存所猜的正确数值。

⑷再运用一个74LS175D芯片4边沿D触发器来存储比较的结果,通过Key=Y的触发来送数

存储并显示。

⑸运用一个74161N计数器、一个74LS08D与门、一个74LS04D非门和一个灯泡组成判断猜字游戏是否结束的标志,同时用4个灯泡来清晰的观察计数的统计情况。

⑹当通过调节开关使输入的数值比寄存在寄存器中的数值大时,调节脉冲开关使其对寄存器产生一个上升沿,Key=Y的上升沿脉冲一到,这时连接在集成数值比较器上的蓝灯将会发光。

⑺当通过调节开关使输入的数值比寄存在寄存器中的数值相等时,调节脉冲开关使其对寄存器产生一个上升沿,Key=Y的上升沿脉冲一到,这时连接在集成数值比较器上的绿灯将会发光。

⑻当通过调节开关使输入的数值比寄存在寄存器中的数值小时,调节脉冲开关使其对寄存器产生一个上升沿,Key=Y的上升沿脉冲一到,这时连接在集成数值比较器上的黄灯将会发光。

⑼当每次调节开关时,如果绿灯连续5次都没亮,那么连接在计数器上的清零端将不会发生作用,并且连接在计数器上的灯在每次下降沿到达时将会计数,当第五次猜字绿灯没亮时,计数器的输出端为0101,此时连接在与门上的红灯将会发光,表示猜字游戏结束。

⑽当在调节开关时,如果绿灯在不大于5次时发了光,即绿灯在红灯没亮之前亮了,表示猜字猜对了。

5 调试与操作说明

通过key=6、5、4、3、2、1六个开关向寄存器内输入数字,Key= S有0->1控制寄存器值的输入,同时让Key= S一直为1状态,结合用这六个开关向内送入数字与寄存器内的数字进行比较,且用另外一个寄存器存储比较的结果。Key= Y用来控制计数器同时通过控制寄存器来显示比较结果;四个橙色的灯用来统计连续猜错的次数,当出现连续五次猜错时,红灯亮。

初始状态:仿真开关闭合,六个单刀双掷固定数48,Key=S接低电平时:(A=48,B=0)。

图5-1初始状态

送数:让Key=S接高电平1,此时所猜的正确值48送到寄存器,同时传给比较器:(A=48,B=48)。

图5-2送数

第一次猜数:通过开关输值56(111000),数值完成后让Key=Y接高电平,计数器加1,

计数器的值为1;同时蓝灯亮,表示第一次猜错了。

图5-3 第一次猜数

让Key=Y接低电平,这就完成了一次输入;同时方便了下一次的输值猜数。

第二次猜数:通过开关输值40(101000),数值完成后让Key=Y接高电平,计数器加1,

计数器的值2;同时黄灯亮,表示第二次也猜错了。

图5-4第二次猜数

让Key=Y接低电平,这就完成了一次输入;同时方便了下一次的输值猜数。

第三次猜数:通过开关输值60(111100),数值完成后让Key=Y由低电平接高电平,计

数器加1,计数器的值3;同时蓝灯亮,表示第三次也猜错了。

图5-5 第三次猜数

让Key=Y接低电平,方便了下一次的输值猜数。

第一个分支:

第四次猜数:通过开关输值20(010100),数值完成后让Key=Y由低电平接高电平,计

数器加1,计数器的值4;同时黄灯亮,表示第四次也猜错了。

图5-6 第四次猜数

让Key=Y接低电平,方便了下一次的输值猜数。

第五次猜数:通过开关输值39(100111),数值完成后让Key=Y由低电平接高电平,计

数器加1,计数器的值5;同时黄灯亮,此时红灯亮,表示第五次也猜错了,游戏结束。

图5-7 第五次猜数

第二个分支:

第六次猜数:通过开关输值48(110000),数值完成后让Key=Y由低电平接高电平,计

数器加1,计数器的值4;此时绿灯亮,表示猜对了,表明游戏结束。

图5-8 第六次猜数

6 课程设计总结与体会

通过两周来的课程设计实践,主要有以下几点总结和体会;这次设计是通过查阅各种资料、与同学讨论以及独立思考设计出来的。在设计过程中,用到了本学期所学过的移位寄存器74175N、二进制同步加法计数器74161N和比较器74Ls85N。因此,对它们的功能和运用有了更深一步的了解。同时通过Multisim软件对电路进行模拟仿真,从而使设计结果得到了验证。通过这次课程设计环节,了解到模拟电路和数字电路之间的联系,对单元功能电路的理解和运用能力有了一定的提高。

将理论与实践相结合,知识的价值才会真正体现出来。但将所学的知识合理有效的应用于实践中,是很艰难的。但是面对困难我们需要勇气和执着。如果缺乏勇气不能在挫折面前我们便会不知所措,不愿有所作为,这样是不会有进展的。一开始接触这样综合性的电路实验,心里很没底,不知从何处着手。但是通过指导老师的指点和查阅一些资料便能渐渐理清思路。另外还想提一下的就是Multisim的使用,开始的时候对Multisim很不熟悉,但是摸索一段时间,我们还是能用用它来进行仿真设计的。当然要进行一项比较复杂的实践时,光有勇气还是不够的,更加需要执着地付出。我们组这次的前期设计过程是这样的:先是看懂范例,然后是设计自己的电路,最后仿真调试。这三个环节我们都花费了不少时间。这次的课程设计主要是关于数字电路的知识,譬如寄存器74175N的原理,计数器对连续五次猜错的计数,模式开关电路的设计,各种芯片(74175N、74161N、74LS85N)的结构与功能等。这时需要静下心来复习,把电路的各个部分原理弄清楚。在查到的诸多设计电路图中基本的模块大同小异,通过对这些电路图的比较和分析。在实验过程中,我们做了很多的改进,由原来的13个控制开关减少到8个控制开关,增加了寄存器。这让我们深刻认识到了完成一个设计可以有很多方法,我们将繁杂的设计不断地改进,最后设计了一个比较简单的,我们较为满意的电路。

7 致谢

感谢张永定老师对我们组课程设计的耐心指导。我们组的课程设计是猜数字游戏,是一

个很综合的课程设计。用到了寄存器、计数器、比较器。这当中我们只是在书本中看到过,知道基本原理。但是在真正实践的过程中就不知所措了,真正的实物与书中的不一样。在我们最手足无措的时候老师给了我们很大的帮助,给了我们很多的建议。比如存六位的二进制数可以用寄存器,比较两个数大小可以用比较器,用计数器记录输入数字的次数等等。我们的疑问老师都耐心的解答。“纸上得来终觉浅,绝知此事要躬行。”光知道理论知识是不够的,我们在实践过程中张永定老师给了我们非常大的帮助。非常感谢张永定老师!

8 参考文献

[1] 康光华编著.数字电路与设计基础[M]. 北京:高等教育出版社, 2009.

[2] 王连英编著.基于MUltisim 10 的电子仿真实验与设计[M]. 北京:北京邮电大学出版社, 2010.

[3] 余孟尝编著.数字电子技术基础简明教程[M]. 北京:高等教育出版社, 2006.

9 附录

寄存器(74175N):3个

比较器(74LS85N):2 个

同步二进制加法计数器(74161N):1个

评语:

评阅教师签名:年月日成绩

60进制计数器课程设计报告

电子技术基础实验 课程设计 60进制计数器

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。A、B、C、D是数据输入端; QA、QB、QC、QD是数据输出端。

图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。 3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表

贪吃蛇游戏课程设计实验报告全解

辽宁科技大学课程设计说明书 设计题目:基于C#的贪吃蛇游戏 学院、系:装备制造学院 专业班级:计算机科学与技术 学生姓名:叶佳佳 指导教师:丁宁 成绩: 2015年12月12日

目录 一、概述 (1) 1、用C#实现该设计的方法 (1) 2、贪吃蛇游戏说明 (1) 二、实验目的及设计要求 (1) 1、实验目的 (1) 2、实验要求 (2) 三、课程设计具体实现 (2) 1、概要设计 (2) 1.1、设计思想 (2) 1.2、主模块实现 (2) 1.3、主函数流程图 (4) 2、详细设计 (5) 2.1、设计思想 (5) 2.2、具体模块实现: (5) 四、调试过程及运行结果 (10) 1、调试过程 (10) 2、实验结果 (11) 五、实验心得 (12) 六、参考资料 (13) 七、附录:源代码 (13)

一、概述 1、用C#实现该设计的方法 首先应该了解设计要求,然后按照功能设计出实际模块,每个模块都要完成特定的功能,要实现模块间的高内聚,低耦合。设计模块是一个相当重要的环节,模块的数量不宜太多,也不宜太少,要是每个模块都能比较简单的转换成流程图。模块设计完成后,就该给每个模块绘制流程图。流程图要尽可能的简单且容易理解,多使用中文,补一些过长的代码,增加理解难度。此外,流程图应容易转换成代码。 根据流程图编写好代码后在WindowsXP操作系统,https://www.360docs.net/doc/135229638.html,2008开发环境下进行运行测试,检查错误,最终设计出可行的程序。 2、贪吃蛇游戏说明 游戏操作要尽可能的简单,界面要尽可能的美观。 编写程序实现贪吃蛇游戏,贪吃蛇游戏是一个深受人们喜欢的游戏:一条蛇在密闭的围墙内,在围墙内随机出现一个食物,通过键盘上的四个光标键控制蛇向上下左右四个方向移动,蛇头撞到食物,则表示食物被吃掉,这时蛇的身体长一节,同时计10分;接着又出现食物,等待被蛇吃掉,如果蛇在移动过程中,撞到墙壁、障碍物或身体交叉(蛇头撞到自己的身体),则游戏结束。游戏结束时输出相应得分。 具体要求有以下几点: (1)对系统进行功能模块分析、控制模块分析正确,符合课题要求,实现相应功能;可以加以其他功能或修饰,使程序更加完善、合理; (2)系统设计要实用,采用模块化程序设计方法,编程简练、可用,功能全面; (3)说明书、流程图要清楚; 二、实验目的及设计要求 1、实验目的 .NET课程设计是教学实践环节中一项重要内容,进行此课程设计旨在掌握基础知识的基础上,进一步加深对VC#.NET技术的理解和掌握; 提高和加强学生的计算机应用及软件开发能力,使学生具备初级程序员的基本素质; 培养学生独立分析问题、解决问题、查阅资料以及自学能力,以适应信息管理行业日新 1

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

数字逻辑课程设计报告(猜数字游戏)

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:猜数字游戏的设计 院部:计算机与信息工程 专业:网络工程 组别:第五组 起止日期: 2012年5月28日~2012年6月15日 指导教师:张永定 计算机与信息工程学院二○一二年 、

课程设计任务书 课程设计题目猜数字游戏的设计 组长严盼盼学号2011211329 班级网工112班院部计算机与信息工程专业网络工程 组员武倩闫静周晶晶夏艾 指导教师张永定 课程设计目的1.设计一个具有用保存用来进行比较的数据,输入新的数据通过比较器与保存的数据进行比较,用计数器进行计数统计功能的电路。 2.通过此次实验加深对所学数电知识的运用。 3.锻炼Multisim 10的仿真能力和硬件调试能力。 课程设计所需环境硬件环境:微型计算机 软件环境:Windows XP Multisim 10 课程设计任务要求1.猜数字游戏由若干个按键、若干个发光二极管。 2.输入为六位二进制数,与正确值进行比较,判断得出结果。 3.如果出现连续5次猜错,则红灯亮,表示游戏结束。 4.游戏开始时,可以对存储值进行设置。 课程设计工作进度计划 序号起止日期工作内容分工情况 1 5.28~6. 2 课程内容介绍和查找资 料严盼盼:介绍课程内容 武倩、闫静、周晶晶、夏艾:查找资料 2 6.3~6.6 设计总体思路,基本原 理和框图 严盼盼:分析数字电路所需的芯片 3 6.7~6.10 单元电路设计严盼盼:对电路进行总设计 武倩、闫静、周晶晶:负责了解各芯片功能 夏艾:负责连接线路 4 6.11~6.12 搭建仿真电路并进行测 试,调试电路严盼盼、周晶晶:搭建电路 武倩、闫静、夏艾:故障分析并进行改进 5 6.13~6.15 整理文档与总结武倩:负责整理文档 指导教师签字:年月日 系(教研室)审核意见: 系(教研室)主任签字:年月日

计算器课程设计报告

课设报告 福建工程学院软件学院 题目:汇编计算器 班级: 1301 姓名 学号: 指导老师: 日期:

目录 1、设计目的 (3) 2、概要设计 (3) 2.1 系统总体分析 (3) 2.2 主模块框图及说明 (3) 3、详细设计 (4) 3.1 主模块及子模块概述 (4) 3.2各模块详运算 (4) 4、程序调试 (7) 4.1 运行界面分析 (7) 算法分析 (7) 4.2 调试过程与分析 (9) 5、心得体会 (11) 5.1 设计体会 (11) 5.2 系统改进 (11) 附录: (11)

1、设计目的 本课程设计是一次程序设计方法及技能的基本训练,通过实际程序的开发及调试,巩固课堂上学到的关于程序设计的基本知识和基本方法,进一步熟悉汇编语言的结构特点和使用,达到能独立阅读、设计编写和调试具有一定规模的汇编程序的水平。 2、概要设计 用8086汇编语言编写一个能实现四则混合运算、带括号功能的整数计算器程序。程序能实现键盘十进制运算表达式的输入和显示(例如输入:“1+2*(3-4)”),按“=”后输出十进制表示的运算结果。 2.1 系统总体分析 在8086的操作环境下,该计算器分成输入,数据存储,运算功能,输出几个大模块,实现了使用者使用该计算器时输入一个算式,能让系统进行计算。此计算器的实现功能是基本的数学的四则运算,结果范围在0~65535。 2.2 主模块框图及说明 此流程图简要的表现出了所要实现的功能以及一些功能的大概算法,同时也是我编写的一个总体的框架。 程序流程图说明:通过流程图,可以看出程序运行时,首先输出提示语气,当用户输入后,程序根据所输入内容进行判断,通过判断的结果来决定调用哪个功能模块,首要先要要判断的是否为0-9,“+”“-”“*”“/”这些字符,若不是就会报错,实则根据运算符号调用其功能模块完成运算。最后将运算的结果显示在主频幕上,返回主程序,使用户可以重新输入。

《贪吃蛇游戏课程设计》报告资料整理

贪吃蛇游戏程序设计 一、课程设计任务 贪吃蛇小游戏程序设计 二、设计要求 通过游戏程序设计,提高编程兴趣与编程思路,巩固C语言中所学的知识,合理的运用资料,实现理论与实际相结合。 (1).收集资料,分析课题,分解问题,形成总体设计思路; (2).对于设计中用到的关键函数,要学会通过查资料,弄懂其用法,要联系问题进行具体介绍; (3).上机调试,查错,逐步分析不能正常运行的原因,确保所设计的程序正确,并且能正常运行; (4).完成课程设计报告,并进行答辩 三、需求分析 3.1、程序功能 贪吃蛇游戏是一个经典小游戏,一条蛇在封闭围墙里,围墙里随机出现一个食物,通过按键盘四个光标键控制蛇向上下左右四个方向移动,蛇头撞倒食物,则食物被吃掉,蛇身体长一节,同时记10分,接着又出现食物,等待蛇来吃,如果蛇在移动中撞到墙或身体交叉蛇头撞倒自己身体游戏结束。

3.2、设计思想 程序关键在于表示蛇的图形及蛇的移动。用一个小矩形快表示蛇的一节身体,身体每长一节,增加一个矩形块,蛇头用俩节表示。移动时必须从蛇头开始,所以蛇不能向相反的方向移动,如果不按任意键,蛇自行在当前方向上前移,但按下有效方向键后,蛇头朝着该方向移动,一步移动一节身体,所以按下有效方向键后,先确定蛇头的位置,而后蛇的身体随蛇头移动,图形的实现是从蛇头新位置开始画出蛇,这时,由于未清屏的原因,原来的蛇的位置和新蛇的位置差一个单位,所以看起来蛇多一节身体,所以将蛇的最后一节用背景色覆盖。食物的出现与消失也是画矩形块和覆盖矩形块。为了便于理解,定义两个结构体:食物与蛇。

3.3、流程图

四、设计的具体实现 (1)函数定义 函数定义是对各个基础函数的定义,并且设置需要运用的信息,便于调用 #define N 200 #define M 200 #include"graphics.h" #include #include #include #include #include #include #include #define LEFT 97//A #define RIGHT 100//D #define DOWN 115//S #define UP 119//W #define Esc 0x011b int i,key; int score=0; int gamespeed=250;//游戏速度可根据实际情况自行调整 struct Food { int x;//食物的横坐标 int y;//食物的纵坐标 int yes;//判断是否要出现食物的变量 }food;//食物的结构体 struct Snake { int x[M]; int y[M]; int node;//蛇的节数 int direction;//蛇的移动方向 int life;//蛇的生命,0表示活着,1表示死亡 }snake; void Init();//图形驱动

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

猜数字游戏C语言课程设计报告书

《C程序设计》 课程设计报告(2014— 2015学年第 2 学期) 题目:猜数字游戏 学院:机械工程学院 班级:机械1310班 学号: 1303090190 姓名:晨 指导教师:罗涛华 时间:起 2015年5月25日止2015年5月31日

一、课程设计基本信息 课程代码:05190124 课程名称:计算机基础课程设计 课程英文名称: Computer-based Course Design 课程所属单位(院(系)、教研室):数学与计算机学院计算机基础课程群 课程面向专业:食品科学与工程学院、机械工程学院、电气与电子工程学院、土建学院、动物科学与营养工程学院、化学与环境工程学院、工商管理类、国际经济与贸易、旅游管理、金融学、行政管理、汉语言文学、英语、护理学、康复治疗专业、生物科学类、制药工程、制药工程(生物制药)、药物制剂、物流管理 课程类型:必修课 先修课程:大学计算机基础通识选修课程、程序设计课程 学分:1 总学时:1周 二、课程设计目标 掌握所学语言程序设计的方法,熟悉所学语言的开发环境及调试过程,熟悉所学语言中的数据类型,数据结构、语句结构、运算方法,巩固和加深对理论课中知识的理解,提高学生对所学知识的综合运用能力。通过综合设计要求达到下列基本技能:1.培养查阅参考资料、手册的自学能力,通过独立思考深入钻研问题,学会自己分析、解决问题。 2.通过对所选题目方案分析比较,确立方案,编制与调试程序,初步掌握程序设计的方法,能熟练调试程序。 3.系统设计编程简练,可用,功能全面,并有一定的容错能力。用户界面良好,有较好的输出功能。在完成课题基本要求后,具有创新型设计,具有一定的实用价值。 4.根据个人的设计调试过程,撰写设计报告。 三、课程设计容 熟练掌握所学语言的基本知识:数据类型(整形、实型、字符型、指针、数组、结构等);运算类型(算术运算、逻辑运算、自增自减运算、赋值运算等);程序结构(顺序结构、判断选择结构、循环结构);大程序的功能分解方法(即函数的使用)等。进一步掌握各种函数的应用,包括时间函数、绘图函数,以及文件的读写操作等。 四、课程设计要求 1.要求每个同学都要认真对待,积极参与。 2.课程设计结束时,提交完成的所有源程序、相关文件和可执行文件。同时填写并完 成《课程设计报告册》。 3.不符合要求的程序、设计报告、抄袭的设计报告或源程序代码、在设计中完全未参 与的将作不及格处理。

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

游戏24点课程设计报告

游戏24点课程设计报告 一.题目: 分析类: 计算24点:任意输入4位数字,利用+,-,*,/四则运算使之得到结果 24。输出所有不同算法的计算表达式,可为运算优先级而使用括号。 二.问题分析: 1.全面性: 此问题要求输出结果为24的计算表达式,并且要求输出要全面,我考虑用for循环与递归实现遍历来保证输出的全面性,考虑到递归的‘一归到底',每一次完整递归都代表一种算法(详情见算法)。 2.输出的判定和四位数字的类型: 在输出的时候对最后结果等于24的判别,因为考虑到有除法,有可能中途结果可能会出现不能整除的情况与小数,所以输出的四个数都设为float型,且输出判定的时候用近似判定法,而不直接写让最后结果等于24(详情见算法)。 3.重复性: 如果用循环与递归保证了输出24的表达式的全面性,但不可避免的会出现重复,才开始我想在遍历与递归时,加一些限定条件来消除重复但是这样做不但会出错,还不能保证它的输出全面性。于是我想在输出的时候加限定条件,使重复的只输出一遍。 但是对于输入的那4位数字中如果有重复的话,程序结果还是会出现重复的,此问题尚未解决.(详情见算法)。 4.括号问题的处理: 对于括号问题,我规定对每一步的计算表达式,除了*之外,+,-,\都加上括号,即让程序按自己规定的方向执行,输出的括号只是让人能看懂,其实在运算时不起作用(详情见算法)。 5.输出: 输出方面我以为用了遍历所以在每一层遍历都把运算表达式存到一个较大的数组中,在输出的时候如果满足输出条件(在遍历时纪录每次递归的第一次运算的结果,第一次运算的运算符,第二次运算的结果,第二次运算的运算符和第三次运算的运算符),就直接把那个数组里的内容输出,遍历会直接去寻找表达式里的表达式(详情见算法)。 三.算法描述(源代码里有更详尽解释): 1.主要方法: 遍历与递归。 2.主要思路: 把输入的四个数放在一个数组n[4]中,然后任取其中任意两个(不能取同一个--既不能出现自己和自己运算的情况),然后用一个for和一个switch语句来实现这两个数的加减乘除运算,然后把运算的结果放到另一个数组b[4]中并记录此运算的表达式(放到一个大一点的数组tm[4][25]中),同时把其他两个没用到的数也放到该数组中,然后重复以上过程(用遍历实现),最后先判定是不是最后一层运算,是的话在判定最后结果是不是等于24,等于24的话就把那个纪录运算式的数组输出。然后考虑到不能出现重复的(例如:1*2*3*4和2*4*3*1等等)我在遍历的同时记录了第一次运算的结果,第一次运算的运算符,第二次运算的结果,第二次运算的运算符和第三次运算的运算符,对输出的时候做限定(例如:对运算符全*的只输出一遍等等)。在有一次输出后我还定义了另外两个数组用来分别保存上一次输出的第一次运算的结果,第一次运算的运算符,第二次运算的结果,第二次运算的运算符和第三次运算的运算符,来解决重复输出的问题,不过此种做法有可能导致输出的时候不全。(此问题尚未解决)即还不能同时保证全面性与不重复性。 3.主要函数与数组:

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

C语言程序课程设计猜数字游戏

C语言程序设计课程设计 : 自 动 化 级 : 名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2015年07月21日

一.引言 设计目的 复习和巩固C语言基础知识,进一步加深对C语言的理解和掌握。提高同学将课本上的理论知识和实际结合的能力,锻炼同学的分析解决实际问题的能力,提高同学团队合作的能力。使同学们善于观察和思考,善于合作,具备实践编程的基础素质,和实际问题分析的思考方式。 设计要求 在设计时充分地分析和理解问题本身,综合考虑系统功能,怎样使系统结构清晰、合理、简单和易于调试。然后详细设计,确定每个过程和函数的简单功能,以及过程(或函数)之间的调用关系。最后认真完成课程设计说明书,并对设计方法,结果等进行总结。 充分地分析和理解问题本身,弄清要求做什么(What to do)。在确定解决方案框架过程中(How to do),综合考虑系统功能,考虑怎样使系统结构清晰、合理、简单和易于调试。最后确定每个过程和函数的简单功能,以及过程(或函数)之间的调用关系。 确定算法的主要流程,在此基础上进行代码设计(Coding),每个明确的功能模块程序一般不超过60行,否则要进一步划分。 上机前程序静态检查可有效提高调试效率,减少上机调试程序时的无谓错误。静态检查主要有两种途径:(1)用一组测试数据手工执行程序;(2)通过阅读或给别人讲解自己的程序而深入全面地理解程序逻辑。 二.基础题 题目 用选择法对10个数进行排序。 有一个已排好序的数组。现输入一个数,要求按原来的规律插入到原数组中。解题思路 程序的主要功能是对数组元素用排序函数按从小到大的顺序进行排序。 先定义一个10个元素的一维数组a[10],然后从电脑输入10个数(也就是对数组赋值),然后使用一次fun()函数,对这10个数进行排序;然后再定义一个11个元素的一维数组b[11],同时再从电脑输入一个数同a[10]一起赋值给b[11],然后再使用fun()函数,重新排序的到最后的有顺序的一组数据。 流程图 子函数流程图如图1所示:

24进制计数器设计报告.doc

24进制计数器设计报告 单时钟同步24进制计数器课程设计报告1.设计任务1.1设计目的1.了解计数器的组成及工作原理。 2.进一步掌握计数器的设计方法和计数器相互级联的方法。 3.进一步掌握各芯片的逻辑功能及使用方法。 4.进一步掌握数字系统的制作和布线方法。 5.熟悉集成电路的引脚安排。 1.2设计指标1.以24为一个周期,且具有自动清零功能。 2.能显示当前计数状态。 1.3设计要求1.画出总体设计框图,以说明计数器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向。 并以文字对原理作辅助说明。 2.设计各个功能模块的电路图,加上原理说明。 3.选择合适的元器件,利用multisim仿真软件验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在确定电路充分正确性同时,输入信号和输出方式要便于电路的测试和故障排除。 4.在验证各个功能模块基础上,对整个电路的元器件和布线进行合理布局。 5.打印PCB板,腐蚀,钻孔,插元器件,焊接再就对整个计数器电路进行调试。

2.设计思路与总体框图.计数器由计数器、译码器、显示器三部分电路组成,再由555定时器组成的多谐振荡器来产生方波,充当计数脉冲来作为计数器的时钟信号,计数结果通过译码器显示。 图1所示为计数器的一般结构框图。 十位数码显示管译码驱动异步清零计数器计数脉冲(由555电路产生)个位位数码示像管译码驱动异步清零计数器强制清零▲图1计数器结构框图3.系统硬件电路的设计3.1555多谐荡电路555多谐振荡电路由NE555P芯片、电阻和电容组成。 由NE555P的3脚输出方波。 ▲图2555电路3.2计数器电路集成计数芯片一般都设置有清零输入端和置数输入端,而且无论是清零还是置数都有同步和异步之分。 有的集成计数器采用同步方式,即当CP触发沿到来时才能完成清零或置数任务;有的集成计数器则采用异步方式,即通过触发器的异步输入端来直接实现清零或置数,与CP信号无关。 本设计采用异步清零。 由2片十进制同步加法计数器74LS160(图2-1-1)、一片与非门74LS00(图2-1-2)和相应的电阻、开关。 由外加送来的计数脉冲(由555电路产生)送入两个计数器的CLK端,电路在计数脉冲的作用下按二进制自然序依次递增1,当个位计数到9时,输出进位信号给十位充当使能信号进位。

猜数字游戏课程设计报告

XXXX学校 《C程序设计》 课程设计报告 设计题目:猜数字游戏 附录完整源代码 专业: 班级: 学生: 学号: 指导教师: 起止时间: xxx.x.x -xxx.x.x xxxx-xxxx年 xx 学期

目录 1 、程序设计描述 1.1 程序设计目的: 1.2 程序设计要求: 1.3、猜数字游戏的简介: 2 、程序设计内容: 2.1、游戏主要框架图: 2.2、开始游戏框架: 2.3、最佳排行框架: 2.4、游戏操作说明模块: 2.5、退出游戏系统: 2.6、游戏系统总流程图: 3、猜数字游戏源代码: 3.1、void main()函数函数功能: 3.2、void game();//双人游戏 void pgame();//单人游戏 3.4、排行榜模块函数功能: 3.5、继续游戏模块函数功能: 3.6、操作说明模块函数功能: 4、调试与测试: 4.1、调试方法 4.2、测试结果的分析与讨论 4.3、测试过程中遇到的主要问题及采取的解决措施 5、程序具体说明书: 6、程序设计心得: 7、参考文献

1 、程序设计描述 1.1 程序设计目的: 综合使用所学过的C语言程序设计知识,掌握结构化程序设计的基本思路和方法,利用所学的基本知识和技能,发挥自学能力和查找资料的能力,解决稍微复杂的结构化程序设计问题,加深对所学知识的理解与掌握,增强学生利用自己所学知识解决实际问题的能力,为以后的程序开发打下基础。 1.2 程序设计要求: 1、巩固和加强《C语言程序设计》课程的理论知识。 2、掌握C语言的基本概念、语法、语义和数据类型的使用特点。 3、掌握C语言程序设计的方法及编程技巧,能正确使用C语言编写程序。 4、进一步理解和运用结构化程设计的思想和方法;学会利用流程图。 5、掌握调试程序的基本方法及上机操作方法。 6、掌握书写程设计开发文档的能力,学会撰写课程设计总结报告。课程设计的思想和方法还可以作为做毕业论文时的参考资料。 7、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。为做毕业设计打好基础。 8、培养自己的创新能力和创新思维。可以根据指导书和相关文献上的参考算法,自己设计出相应的应用程序。 9、培养自己良好的程序设计风格。在实际编程中,为了提高编程质量,对空行、空格和注释均有要求。在课程设计书写代码时,应该严格按要求处理,以便建立良好的程序设计风格。 1.3、猜数字游戏的简介: 猜数字游戏(又称 Bulls and Cows )是一种大概于20世纪中期兴起于的益智类小游戏。一般由两个人玩,也可以由一个人和电脑玩,在纸上、在网上都可以玩。这种游戏规则简单,但可以考验人的严谨和耐心。 2 、程序设计内容: 2.1、游戏主要框架图: 该模块为玩家提供猜数字游戏的主体功能,即开始游戏、继续游戏、最佳排行、操作说明、退出游戏。给用户一个清晰明了的操作界面!流程图如下:

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

猜数字游戏课程设计完整版

北京邮电大学世纪学院 实验、实习、课程设计报告撰写格式与要求 (试行) 一、实验报告格式要求 1、有实验教学手册,按手册要求填写,若无则采用统一实验报告封面。 2、报告一律用钢笔书写或打印,打印要求用A4纸;页边距要求如下:页边距上下各为厘米,左右边距各为厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 3、统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。 4、实验报告中的实验原始记录,须经实验指导教师签字或登记。 二、实习报告、课程设计报告格式要求 1、采用统一的封面。 2、根据教学大纲的要求手写或打印,手写一律用钢笔书写,统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。打印要求用A4纸;页边距要求如下:页边距上下各为厘米,左右边距各为厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。

三、报告内容要求 1、实验报告内容包括:实验目的、实验原理、实验仪器设备、实验操作过程、原始数据、实验结果分析、实验心得等方面内容。 2、实习报告内容包括:实习题目、实习任务与要求、实习具体实施情况(附上图表、原始数据等)、实习个人总结等内容。 3、课程设计报告或说明书内容包括:课程设计任务与要求、总体方案、方案设计与分析、所需仪器设备与元器件、设计实现与调试、收获体会、参考资料等方面内容。 四、课内实践课报告撰写要求 1、大型作业类的按课程设计要求撰写; 2、带实习性质的按实习要求撰写; 3、其余的按实验要求撰写。 五、其它 由于存档的需要,所有报告必须双面打印,请教师做好把关工作。 北京邮电大学世纪学院

基于单片机的光电计数器课程设计

计控学院 College of computer and control engineering Qiqihar university 电气工程课程设计报告题目:基于单片机的光电计数器 系别电气工程系 专业班级电气123班 学生姓名宋恺 学号2012024073 指导教师李艳东 提交日期 2015年6月 24日 成绩

电气工程课程设计报告 摘要 光电计数器是利用光电元件制成的自动计数装置。其工作原理是从光源发出的一束平行光照射在光电元件(如光电管、光敏电阻等)上,每当这束光被遮挡一次时,光电元件的工作状态就改变一次,通过放大器可使计数器记下被遮挡的次数。光电计数器的应用范围非常广泛,常用于记录成品数量,例如绕线机线圈匝数的检测、点钞机纸币张数的检测、复印机纸张数量的检测,或展览会参观者人数。 光电计数器与机械计数器相比,具有可靠性高、体积小、技术频率高、能和计算机链接实现自动控制等优点。本文即介绍基于MCS-51单片机的光电技术器。 关键词:单片机;光电计数器;数码显示;自动报警

齐齐哈尔大学计控学院电气工程系课程设计报告 目录 1 设计目的及意义 (1) 2 设计内容 (1) 2.1 系统整体设计 (1) 2.1.1 实验方案 (1) 2.1.2 光电计数器结构框图 (2) 图1 光电计数器结构框图 (2) 2.2系统硬件设计 (2) 2.2.1稳压直流电源电路 (2) 2.2.2发射接收电路 (3) 2.2.3显示电路 (3) 2.2.4报警电路 (4) 2.2.5硬件系统 (4) 2.3系统软件设计 (6) 3 结论7 4 参考文献 (8)

数独游戏课程设计报告

数独游戏课程设计报告 将“数独”智力游戏的难度划分与创建问题分解为建立终盘和初盘、难度评分、游戏创建。首先采用行列变换的方法建立终盘,然后隐去部分数字并检验解唯一性,得到初盘。 在已得到初盘的基础上,根据求解时初级方法和高级方法使用的次数确定难度评分,从而依据分数对题目的难度进行划分,以此创建例外等级难度的“数独”游戏。最后通过实验验证了模型的实用性。下面是整理的数独游戏课程设计报告,欢迎来参考! “数独”是18世纪瑞士数学家欧拉发明。该游戏是在9×9的单元网格中进行,这些网格被分9行、9列和3×3个九宫格。单元网格中已有若干数字,其余均为空格。玩家需要推理出所有剩余空格的数字,并满足每一行、每一列、每一个小九宫格内的数字均含1-9且不重复。每一道合格的“数独”谜题都有且仅有唯一答案。 目前,“数独”作为一种智力游戏已经盛行世界,国内外许多学者已对数独的求解算法做了深入研究,例如递归法、回溯候选数法、枚举算法等,但在数独的难度划分与创建方面的研究还很少。由于影响“数独”难度的因素有很多,就问题本身而言,难度因素包括最高难度的技巧、各种技巧所用次数、是否有隐藏及隐藏的深度和广度的技巧组合、当前盘面可逻辑推导出的个数等等;就玩家而言,了解的技巧数量、熟练程度、观察力等也属于难度划分应考虑的因素。因此,单单利用空格数或求解时间对题目难度进行划分是不全面的,其难度指标定义过于主观,讨论也不够细密,无法真正划分难度的级别。 本文首先创建符合要求的“数独”终盘,然后在终盘的基础上生成具有数独特性的初盘,根据求解时初级方法和高级方法使用的次数确定难度评分,从而依据分数对题目的难度进行划分,以此创建例外等级难度的“数独”游戏。 首先运用初等行、列变换,构造一个新的简单明了的终盘生成算法,具体步骤如下: Step1:从行的角度出发,在第一行随机输入1-9的数字。以一个小九宫为单位,将相邻三个数字作为一个集体进行交替,由此获得第二行的数字,再由

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

相关文档
最新文档