滤波器设计步骤

滤波器设计步骤
滤波器设计步骤

滤波器设计步骤:

1、确定滤波器阶数n;

2、电路实现形式选择,传递函数的确定;

3、电路中元器件的选择,包括运算放大器的选择、阻容值设置等,最后形成电路原理图;

4、仿真结果(幅频特性图)及优化设计;

5、调试注意事项,确定影响滤波器参数实现的关键元件。

每一种电路按照以上步骤完成设计,本周内完成!

1、有源低通滤波器f c =50kHz

一、最低阶数的选取

主要功能参数为: 1) 带内不平坦度α1=0.5dB

2) 阻带衰减α2≥40dB ,这里取45dB 3) 增益G=10 4) 通带范围50kHz

使用滤波器设计软件,计算得出:若选取巴特沃斯滤波器,最低阶数为n=9;若选取切比雪夫滤波器,得到同样满足要求的切比雪夫滤波器的最低阶数为n=6。由于高阶滤波器电路复杂,造价较高,所以在同样满足技术指标的情况下,选取滤波器的最低阶数,即n=6。

二、电路实现形式选择及传递函数的确定

实现切比雪夫低通滤波器的电路有许多种,这里选择无限增益多端反馈电路(MFB ),见图1。MFB 滤波器是一种常用的反相增益滤波器,它具有稳定好和输出阻抗低等优点。

图1 二阶MFB 低通滤波电路

图2滤波器的级联

如图2所示,电路由三个二阶MFB 低通滤波电路串联实现,在图1所示电路中,当f=0时,C 1和C 2均开路,所以M 点的电压为

1

21R R

U U M -= M 点的电流方程 C I I I I ++=321

M

I 2

I 3

I 1

I C

V 2

V 1

N

4

2

3

22111sC U R U R U U R U U M

M M M ++-=- (式1)

其中 M U R sC

U 3

121-= (式2)

解式1和式2组成的联立方程,得到每个二阶MFB 低通滤波器的传递函数为

3

2212

321

3211

21

2

1111R R C C s R R R R R sC R R U U +???? ??+++-

=

最后得出六阶切比雪夫低通滤波器的传递函数为

?

+???? ??+++-

?

+???? ??+++-=6

5432

654

6534

5322123213211

21

4

11111111R R C C s R R R R R sC R R R R C C s R R R R R sC R R U U

9

8652

987

9857

8

1111R R C C s R R R R R sC R R +???? ??+++-

三、电路中元器件的选择

使用滤波器设计软件,计算得出每节电路的阻值容值,如图2所示。

图2 六阶切比雪夫低通滤波器

器件的选择:

选择运放时,应适应满足特定增益的要求和频率范围的运放。并且,为了达到最佳运用,还要考虑运放的上升速率。

电阻在激光调阻时精度为1%以下。

电容使用公差为为2%以下的电容。

四、仿真结果及优化设计

增益G=10倍,换算成分贝值为20log10=20dB

输入信号为1.0V的正弦波,电路的仿真结果如图3

图3 幅频特性图

调节电阻值后(如图4),得到优化后的仿真结果(如图5),把电压值转换成分贝值(如图6),由图6可以看出

<0.5dB

带内不平坦度α

1

>40dB

阻带衰减(2f)α

2

增益G=10倍,即20dB

通带范围≥50kHz

满足设计要求。

图4

图5 优化后的幅频特性图

五、调试注意事项

2、有源低通滤波器f c =100kHz

一、最低阶数的选取

主要功能参数为: 5) 带内不平坦度α1=0.5dB

6) 阻带衰减α2≥40dB ,这里取45dB 7) 增益G=10倍 8) 通带范围100kHz

使用滤波器设计软件,计算得出:若选取巴特沃斯滤波器,最低阶数为n=9;若选取切比雪夫滤波器,得到同样满足要求的切比雪夫滤波器的最低阶数为n=6。由于高阶滤波器电路复杂,造价较高,所以在同样满足技术指标的情况下,选取滤波器的最低阶数,即n=6。

二、电路实现形式选择及传递函数的确定

实现切比雪夫低通滤波器的电路有许多种,这里选择无限增益多端反馈电路(MFB ),见图1。MFB 滤波器是一种常用的反相增益滤波器,它具有稳定好和输出阻抗低等优点。

图1 二阶MFB 低通滤波电路

图2滤波器的级联

如图2所示,电路由三个二阶MFB 低通滤波电路串联实现,在图1所示电路中,当f=0时,C 1和C 2均开路,所以M 点的电压为

11

2

U R R U M -

= M 点的电流方程 C I I I I ++=321

M

I 2

I 3

I 1

I C

V 2

V 1

N

4

2

3

22111sC U R U R U U R U U M

M M M ++-=- (式1)

其中 M U R sC

U 3

121-= (式2)

解式1和式2组成的联立方程,得到每个二阶MFB 低通滤波器的传递函数为

3

2212

321

3211

21

2

1111R R C C s R R R R R sC R R U U +???? ??+++-

=

最后得出六阶切比雪夫低通滤波器的传递函数为

?

+???? ??+++-

?

+???? ??+++-=6

5432

654

6534

5322123213211

21

4

11111111R R C C s R R R R R sC R R R R C C s R R R R R sC R R U U

9

8652

987

9857

8

1111R R C C s R R R R R sC R R +???? ??+++-

三、电路中元器件的选择

使用滤波器设计软件,计算得出每节电路的阻容值,如图2所示。

图2 六阶切比雪夫低通滤波器

器件的选择:

选择运放时,应适应满足特定增益的要求和频率范围的运放。并且,为了达到最佳运用,还要考虑运放的上升速率。

电阻在激光调阻时精度为1%以下。

电容使用公差为为2%以下的电容。

四、仿真结果及优化设计

增益G=10倍,换算成分贝值为20log10=20dB

输入信号为1.0V的正弦波,电路的仿真结果如图3

图3 幅频特性图

调节电阻值后(如图4),得到优化后的仿真结果(如图5),把电压值转换成分贝值(如图6),由图6可以看出

<0.5dB

带内不平坦度α

1

阻带衰减(2f)α

>40dB

2

增益G=10倍,即20dB

通带范围≥100kHz

满足设计要求。

图4

图5 优化后的幅频特性图

五、调试注意事项

滤波器设计步骤及实现程序

数字滤波器的设计步骤及程序实现 湖南理工学院信息与通信工程学院 一、IIR 脉冲响应不变法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=pi, T /ω=Ω 3、求原型模拟滤波器的c N Ω,,其中:??? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/)()( 6、将)(s H a 化为部分分式展开形式∑-=k k a s s A s H )( 7、写出)(z H 的极点T s k k e z =,并写出)(z H 的部分分式展开形式∑--?= 11)(z z A T z H k k 8、将)(z H 化为分子分母形式,验证设计结果。 二、IIR 双线性变换法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=2, 2 tan 2ω?= ΩT 3、求原型模拟滤波器的c N Ω,,其中:?? ? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/) ()( 6、用11 112--+-?=Z Z T s 代入原型系统函数)(s H a 得1 1 112)()(--+-? ==Z Z T s a s H z H 8、将)(z H 整理成分子分母形式,验证设计结果。

FIR数字滤波器设计与使用

实验报告 课程名称:数字信号处理指导老师:刘英成绩:_________________实验名称: FIR数字滤波器设计与使用同组学生姓名:__________ 一、实验目的和要求 设计和应用FIR低通滤波器。掌握FIR数字滤波器的窗函数设计法,了解设计参数(窗型、窗长)的影响。 二、实验内容和步骤 编写MATLAB程序,完成以下工作。 2-1 设计两个FIR低通滤波器,截止频率 C =0.5。 (1)用矩形窗,窗长N=41。得出第一个滤波器的单位抽样响应序列h 1(n)。记下h 1 (n) 的各个抽样值,显示h 1 (n)的图形(用stem(.))。求出该滤波器的频率响应(的N 个抽样)H 1(k),显示|H 1 (k)|的图形(用plot(.))。 (2)用汉明窗,窗长N=41。得出第二个滤波器的单位抽样响应序列h 2(n)。记下h 2 (n) 的各个抽样值,显示h 2(n)的图形。求出滤波器的频率响应H 2 (k),显示|H 2 (k)|的 图形。 (3)由图形,比较h 1(n)与h 2 (n)的差异,|H 1 (k)|与|H 2 (k)|的差异。 2-2 产生长度为200点、均值为零的随机信号序列x(n)(用rand(1,200)0.5)。显示x(n)。 求出并显示其幅度谱|X(k)|,观察特征。 2-3 滤波 (1)将x(n)作为输入,经过第一个滤波器后的输出序列记为y 1(n),其幅度谱记为|Y 1 (k)|。 显示|X(k)|与|Y 1 (k)|,讨论滤波前后信号的频谱特征。 (2)将x(n)作为输入,经过第二个滤波器后的输出序列记为y 2(n),其幅度谱记为|Y 2 (k)|。 比较|Y 1(k)|与|Y 2 (k)|的图形,讨论不同的窗函数设计出的滤波器的滤波效果。 2-4 设计第三个FIR低通滤波器,截止频率 C =0.5。用矩形窗,窗长N=127。用它对x(n)进行滤波。显示输出信号y

滤波器的设计与实现

滤波器的设计与实现 一、设计简介 自已设计电路系统,构成低通滤波器、高通滤波器和带通滤波器。利用Matlab或PSPICE或PROTEL或其他软件仿真。 二、设计要求 完成电路设计;学习用计算机画电路图;学会利用Matlab或PSPICE或其他软件仿真。 三、设计路线 滤波器是对输入信号的频率具有选择性的一个二端口网络,它允许某些频率次(通常是某个频率范围)的信号通过,而其他频率的信号幅值均要受到衰减或抑制。这些网络可以由RLC元件或RC元件构成的无缘滤波器,也可以由RC元件和有源器件构成的有源滤波器。 根据幅频特性所表示的通过或阻止信号频率范围的不同,滤波器可分为低通滤波器(LPF),高通滤波器(HPF),带通滤波器(BPF),和带阻滤波器(BEF)四种。从实现方法上可分为FIR,IIR滤波器。从设计方法上可分为切比雪夫滤波器,巴特沃思滤波器。从处理信号方面可分为经典滤波器和现代滤波器。 在这里介绍两种具体的滤波器设计方法: (1)切比雪夫滤波器:是在通带或阻带上频率响应幅度等波纹

波动的滤波器。在通带波动的为“I型切比雪夫滤波器”,在阻带波动的为“II型切比雪夫滤波器”。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动。这种滤波器来自切比雪夫多项式,因此得名,用以记念俄罗斯数学家巴夫尼提·列波维其·切比雪夫(Пафнутий Львович Чебышёв)。 (2)巴特沃斯滤波器的特点是通频带的频率响应曲线最平滑。这种滤波器最先由英国工程师斯替芬·巴特沃斯(Stephen Butterworth)在1930年发表在英国《无线电工程》期刊的一篇论文中提出的。 巴特沃斯滤波器的特性 巴特沃斯滤波器的特点是通频带内的频率响应曲线最大限度平坦,没有起伏,而在阻频带则逐渐下降为零。在振幅的对数对角频率的波得图上,从某一边界角频率开始,振幅随着角频率的增加而逐步减少,趋向负无穷大。 无源滤波器与有源滤波器的比较 无源滤波器:这种电路主要有无源元件R、L和C组成有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。

基于matlab的低通滤波器毕业设计(论文)

基于mat lab的低通滤波器 摘要:调用MA TLAB信号处理工具箱中滤波通过观察滤波器输入输出信号的时域波形及其频谱,建立数字滤波的概念。应用最广泛的是双线性变换法。基本设计过程是:①先将给定的数字滤波器的指标转换成过渡模拟滤波器的指标;②设计过渡模拟滤波器;③将过渡模拟滤波器系统函数转换成数字滤波器的系统函数。MA TLAB信号处理工具箱中的各种IIR数字滤波器设计函数都是采用双线性变换法。 关键词:滤波器,matlab,c语言,声音 Abstract: call MATLAB signal processing toolbox filtering through the observation filter of input and output signals time domain waveform and spectrum, establish the concept of digital filter. One of the most widely applied is double linear transformation method. The basic design process is: (1) to a given digital filters index converted into analog filter transition index; (2) the design transition simulation filter; (3) transition simulation filter system function will be converted into digital filter system function. MATLAB signal processing toolbox digital filter function design of IIR is bilinear transformation method. Keywords: filter, matlab, the c language, the voice 一.任务: 用matlab软件设计IIR模拟、数字以及各种窗函数的FIR低通滤波器 二.设计目的: (1)了解matlab软件的用途以及用法; (2)了解用冲激响应不变法设计模拟低通滤波器; (3)了解用脉冲响应不变法设计的巴特沃思数字低通滤波器; (4)了解基于汉明窗函数的FIR低通滤波器的设计。 三.设计内容: 3.1用冲激响应不变法设计模拟低通滤波器 3.1.1 设计内容: 要求按照设计指标设计无限冲激响应IIR巴特沃什模拟低通滤波器。 3.1.2 设计原理: 低通滤波器的技术要求用图形表示如下: 1

IIR数字滤波器的设计流程图讲课讲稿

目录 目录 0 前言 (1) 1.1数字滤波器简介 (1) 1.2使用数字滤波器的原因 (1) 1.3设计的原理和内容 (1) 工程概况 (2) 正文 (2) 3.1 设计的目的和意义 (2) 3.2 目标和总体方案 (2) 3.3 设计方法和内容 (3) 3.4 硬件环境 (3) 3.5软件环境 (3) 3.6IIR数字滤波器设计思路 (3) 3.7 IIR数字滤波器的设计流程图 (3) 3.8 IIR数字滤波器设计思路 (4) 3.9设计IIR数字滤波器的两种方法 (4) 3.10双线性变换法的基本原理 (5) 3.11用双线性变换法设计IIR数字滤波器的步骤 (6) 3.12程序源代码和运行结果 (6) 3.12.1低通滤波器 (6) 3.12.3带通滤波器 (10) 3.12.4带阻滤波器 (13) 3.13结论 (15) 3.13.1存在的问题 (15) 3.13.2解决方案 (16) 致谢 (16)

参考文献 (16) 前言 1.1数字滤波器简介 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。可以设计系统的频率响应,让它满足一定的要求,从而对通过该系统的信号的某些特定的频率成分进行过滤,这就是滤波器的基本原理。如果系统是一个连续系统,则滤波器称为模拟滤波器。如果系统是一个离散系统,则滤波器称为数字滤波器。 信号通过线性系统后,其输出信号就是输入信号和系统冲激响应的卷积。从频域分析来看,信号通过线性系统后,输出信号的频谱将是输入信号的频谱与系统传递函数的乘积。除非为常数,否则输出信号的频谱将不同于输入信号的频谱,某些频率成分较大的模,因此,中这些频率成分将得到加强,而另外一些频率成分的模很小甚至为零,中这部分频率分量将被削弱或消失。因此,系统的作用相当于对输入信号的频谱进行加权。 1.2使用数字滤波器的原因 数字滤波器具有比模拟滤波器更高的精度,甚至能够实现后者在理论上也无法达到的性能。数字滤波器相比模拟滤波器有更高的信噪比。数字滤波器还具有模拟滤波器不能比拟的可靠性。根据其冲击响应函数的时域特性可将数字滤波器分为IIR(有限长冲击响应)和FIR(无限长冲击响应)。 1.3设计的原理和内容 在windows环境下进行语言信号采集,通过IIR数字滤泼器的设计,数字带滤波器就是用软件来实现上面的滤波过程,可以很好的克服模拟滤波器的缺点,数字带滤波器的参数一旦确定,就不会发生变化。IIR型有较好的通带与阻带特性,所以,在一般的设计中选用IIR 型。IIR型又可以分成Butterworth型滤波器,ChebyshevII型滤波器和椭圆型滤波器等。 IIR数字滤波器的设计一般是利用目前已经很成熟的模拟滤波器的设计方法来进行设计,通常采用模拟滤波器原型有butterworth函数、chebyshev函数、bessel函数、椭圆滤波器函数等。 IIR数字滤波器的设计步骤: (1)按照一定规则把给定的滤波器技术指标转换为模拟低通滤波器的技术指标; (2)根据模拟滤波器技术指标设计为响应的模拟低通滤波器; (3)很据脉冲响应不变法和双线性不变法把模拟滤波器转换为数字滤波器;

数字滤波器设计与分析

吉林大学仪器科学与电气工程学院本科生实习报告 实习题目:信号分析和处理 实习时间:2012.09 专业:电气工程及其自动化 所在班级:65100615 学生姓名:王双伟 指导教师:朱凯光田宝凤林婷婷

信号实习报告 一.实验目的 加深对信号系统与信号处理理论的理解,学会信号处理的基本知识和方法,并在基本技能方面得到系统训练;熟悉MA TLAB编程环境,掌握MA TLAB编程基本技能,以及程序调试仿真方法,能够采用MATLAB语言和工具进行信号处理;掌握现代信号分析与处理技术,包括信号频谱分析和数字滤波器(FIR、IIR)设计,学会信号处理系统设计与系统功能检测的基本方法;将理论知识与实际应用结合,提高学生解决实际问题的动手能力,为信号系统与信号处理知识的应用、后续专业学习以及今后从事相关科学研究和实际工作打下坚实基础。二.实验工具 计算机,matlab软件 三.实验内容 设计FIR数字带通滤波器,对于给定函数s=sin(2πx100t)+sin(2πx200t)+sin(2πx400t),设计带通滤波器滤除100和400赫兹的频率,并画出滤波前后的时频图及滤波器的增益图。 f1=100;f2=200;f3=400; fs=2000; m=(0.3*f1)/(fs/2); M=round(8/m); N=M-1; fc=[0.15,0.3]; b=fir1(N,fc); figure(1) [h,f]=freqz(b,1,1000); plot(f*fs/(2*pi),20*log10(abs(h))) xlabel('频率/赫兹'); ylabel('增益/分贝'); title('滤波器的增益响应'); figure(2) subplot(211) t=0:1/fs:0.5; s=sin(2*pi*f1*t)+sin(2*pi*f2*t)+sin(2*pi*f3*t); plot(t,s); xlabel('时间/秒'); ylabel('幅度'); title('信号滤波前时域图');

基于MATLAB的数字滤波器的设计程序

IIR 低通滤波器的设计程序为: Ft=8000; Fp=1000; Fs=1200; As=100 ; Ap=1; wp=2*pi*Fp/Ft; ws=2*pi*Fs/Ft; fp=2*Fp*tan(wp/2); fs=2*Fs*tan(ws/2); [n11,wn11]=buttord(wp,ws,1,50,'s'); [b11,a11]=butter(n11,wn11,'s'); [num11,den11]=bilinear(b11,a11,0.5); [h,w]=freqz(num11,den11); axes(handles.axes1); plot(w*8000*0.5/pi,abs(h)); xlabel('Frequency/Hz'); ylabel('Magnitude'); title('巴特沃斯数字低通滤波器'); 巴特沃斯带通滤波器设计程序为: Ft=8000; Fp1=1200; Fp2=3000; Fs1=1000; Fs2=3200; As=100; Ap=1; wp1=tan(pi*Fp1/Ft); wp2=tan(pi*Fp2/Ft); ws1=tan(pi*Fs1/Ft); ws2=tan(pi*Fs2/Ft); w=wp1*wp2/ws2;

bw=wp2-wp1; wp=1; ws=(wp1*wp2-w.^2)/(bw*w); [n12,wn12]=buttord(wp,ws,1,50,'s'); [b12,a12]=butter(n12,wn12,'s'); [num2,den2]=lp2bp(b12,a12,sqrt(wp1*wp2),bw); [num12,den12]=bilinear(num2,den2,0.5); [h,w]=freqz(num12,den12); plot(w*8000*0.5/pi,abs(h)); axis([0 4000 0 1.5]); xlabel('Frequency/Hz'); ylabel('Magnitude'); title('巴特沃斯数字带通滤波器'); IIR 高通滤波器的设计程序为: Ft=8000; Fp=4000; Fs=3500; wp1=tan(pi*Fp/Ft); ws1=tan(pi*Fs/Ft); wp=1; ws=wp1*wp/ws1; [n13,wn13]=cheb1ord(wp,ws,1,50,'s'); [b13,a13]=cheby1(n13,1,wn13,'s'); [num,den]=lp2hp(b13,a13,wn13); [num13,den13]=bilinear(num,den,0.5); [h,w]=freqz(num13,den13); axes(handles.axes1); plot(w*21000*0.5/pi,abs(h)); xlabel('Frequency/Hz'); ylabel('Magnitude'); title('切比雪夫Ⅰ型数字高通滤波器');

FilterSolutions滤波器设计教程

F i l t e r S o l u t i o n s滤波器 设计教程 The latest revision on November 22, 2020

一、F i l t e r S o l u t i o n s滤波器设计软件中的英文注解 Lowpassnotchfilters:低通陷波滤波器 Order:阶 filtercircuits:滤波电路frequencyresponse:幅频响应Passband:通频带、传输带宽repeatedlycycle:重复周期maximumsignaltonoiseratio:最大信噪比 gainconstants:增益系数,放大常数 circuittopologies:电路拓扑结构gainshortfall:增益不足maximumoutput:最大输出功率laststage:末级precedingstage:前级 stagefilter:分级过滤器GainStage:增益级voltageamplitude:电压振幅Componentvalues:元件值maximumvalued:最大值minimumvalued:最小值standardvalue:标准值 resistors:电阻器 capacitors:电容器operationalamplifiers:运算放大器(OA) circuitboard:(实验用)电路板activefilters:有源滤波器supplycurrents:源电流powersupplies:电源bypassingcapacitors:旁路电容optimal:最佳的;最理想的GainBandwidth:带宽增益passivecomponent:无源元件activecomponent:有源元件overallspread:全局;总范围Componentcharacteristics:组件特性 Modification:修改;更改databook:数据手册 typicalvalues:标准值;典型值defaultvalues:省略补充programexecution:程序执行Resetbutton:复原按钮positivetemperaturecoefficient:正温度系数 variableresistors:可变电阻器cermetresistor:金属陶瓷电阻器outputresistance:输出电阻distortion:失真 singleamplifier:单级放大器voltagefollower:电压输出跟随器troubleshooting:发现并修理故障controlpanel,:控制面板 二、FilterSolutions滤波器设计的基本步骤 1、打开crack的软件后,根据滤波器的设计要求,在filtertype中选择滤波器的类型(Gaussian:高斯滤波器、Bessel:贝塞尔滤波器、butterworth:巴特沃斯;Chebyshev1切比雪夫1;Chebyshev2切比雪夫2;Hourglass:对三角滤波器、Elliptic:椭圆滤波器、Custom:自定义滤波器、RaisedCos:升余弦滤波器、Matche:匹配滤波器、Delay:延迟滤波器); 2、在filterclass中选择滤波器的种类(低通、高通、带通、带阻); 3、在filterAttributes中设置滤波器的阶数(Order)、通频带频率(Passband frequency); 4、在Implementation中选择有源滤波器(active)、无源滤波器(passive)和数字滤波器(Digital);

数字滤波器设计步骤

数字信号处理 数字滤波器的设计 学院计算机与电子信息学院 专业电子信息科学与技术班级电子15-2 班姓名学号 指导教师刘利民

数字滤波器的设计 一、模拟低通滤波器的设计方法 1、B utterw orth 滤波器设计步骤: ⑴。确定阶次N ① 已知Ωc 、Ωs 和As 求Bu tt er worth DF 阶数N ② 已知Ωc 、Ωs 和Ω=Ωp (3dB p Ω≠-)的衰减A p 求Bu tterwort h DF 阶数N ③ 已知Ωp、Ωs和Ω=Ωp 的衰减A p 和As 求B utte rwo rth DF 阶数N /10 /1022(/)101,(/)101p s A A N N p c s c ΩΩ=-ΩΩ=-则:

⑵.用阶次N 确定 ()a H s 根据公式: 1,2,2N ()()a a H s H s -在左半平面的极点即为()a H s 的极点,因而 2,,N 2、切比雪夫低通滤波器设计步骤: ⑴.确定技术指标p Ω p α s Ω s α 归一化: /1p p p λ=ΩΩ= /s s p λ=ΩΩ ⑵.根据技术指标求出滤波器阶数N 及ε: 0.12 10 1δε=- p δα= ⑶.求出归一化系统函数 其中极点由下式求出:

或者由N 和S直接查表得()a H p 二、数字低通滤波器的设计步骤: 1、 确定数字低通滤波器的技术指标:通带截止频率p ω、通带最大衰减系数 p α、 阻带截止频率ω、阻带最小衰减系数s α。 2、 将数字低通滤波器的技术指标转换成模拟低通滤波器的技术指标。 巴特沃斯: 切比雪夫:/s s p λ=ΩΩ 0.1210 1δ ε=- p δα=

FIR数字滤波器设计及软件实现

实验五:FIR数字滤波器设计及软件实现 一、实验目的: (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 二、实验容及步骤: (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 友情提示: ○1MATLAB函数fir1和fftfilt的功能及其调用格式请查阅本课本;

○ 2采样频率Fs=1000Hz ,采样周期T=1/Fs ; ○ 3根据图10.6.1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz ,阻带截至频率fs=150Hz ,换算成数字频率,通带截止频率 p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率s 20.3s f ωπ=T =π,阻带最小衰为60dB 。] ○ 4实验程序框图如图2所示。 图2 实验程序框图 三、实验程序: 1、信号产生函数xtg 程序清单: %xt=xtg(N) 产生一个长度为N,有加性高频噪声的单频调幅信号xt,采样频率Fs=1000Hz %载波频率fc=Fs/10=100Hz,调制正弦波频率f0=fc/10=10Hz. function xt=xtg N=1000;Fs=1000;T=1/Fs;Tp=N*T; t=0:T:(N-1)*T; fc=Fs/10;f0=fc/10; %载波频率fc=Fs/10,单频调制信号频率为f0=Fc/10;

毕业设计178基于FPGA的FIR滤波器设计

目录 引言 (1) 1.软件及硬件平台 (1) 1.1VHDL语言特点 (2) 1.2MAX PLUS II开发环境 (3) 1.3可编程逻辑器件 (4) 1.4ALTERA公司FLEX10K系列 (5) 2.FIR滤波器基本理论 (6) 2.1数字滤波器概述 (6) 2.2有限长单位冲激响应(FIR)滤波器 (6) 2.2.1 FIR滤波器特点 (6) 2.2.2 FIR滤波器结构 (6) 2.3FIR数字滤波器的实现方法 (8) 3.基于FPGA实现FIR滤波器的研究 (9) 3.1基于乘法器结构的FIR滤波器在FPGA上的实现结构 (9) 3.1.1基于乘累加 FIR 滤波器结构 (9) 3.1.2 基于并行乘法器直接型 FIR 滤波器结构 (10) 3.2基于分布式(DA)算法的FIR滤波器在FPGA上实现结构 (11) 3.2.1 用分布式原理实现FIR滤波器-串行方式 (12) 3.2.2 用分布式原理实现FIR滤波器-并行方式 (13) 3.3CSD码及最优化方法 (14) 4.线性相位FIR滤波器的设计 (16) 4.1FIR滤波器的设计要求 (16) 4.2软件环境和硬件平台选择 (16) 4.3FIR滤波器的设计方案 (16) 4.4各模块设计 (17) 5.仿真结果及分析 (21) 5.1仿真结果 (21) 5.2仿真结果分析 (22) 6.总结 (22) 致谢 (23) 参考文献 (23) ABSTRACT (25)

基于FPGA的FIR滤波器设计 摘要:本文提出了一种采用现场可编程门阵列器件(FPGA)实现FIR数字滤波器的方案,并以Altera公司的FPGA器件EPF10K30为例完成了FIR滤波器的模块化设计过程。底层采用VHDL语言描述设计文件,顶层使用底层产生的模块连接组成FIR滤波器,并在MAX+plusII上进行了实验仿真。仿真结果表明:该设计方案可行,可为今后的数字滤波器模块化研究提供另一种思路。 关键词:VHDL;FPGA;FIR滤波器;Maxplus 引言 许多工程技术领域都涉及到信号,这些信号包括电的、磁的、机械的、热的、声的、光的及生物体的等等。如何在较强的背景噪声和干扰信号下提取出真正的信号并将其用于实际工程,这正是信号处理要研究解决的问题。20世纪60年代,数字信号处理理论得到迅猛发展,理论体系和框架趋于成熟,到现在它已经成长为一门独立的数字信号处理学科。数字滤波器在数字信号处理中占有很重要的地位,它涉及的领域很广,如:通信系统、系统控制、生物医学工程、机械振动、遥感遥测、地质勘探、航空航天、电力系统、故障检测、自动化仪器等。 系统数字滤波是提取有用信息非常重要而灵活的方法,是现代信号处理的重要内容。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应可接近理想特性,且精度很高又容易集成。在现代电子系统中,FIR数字滤波器以其良好的线性特性被广泛使用,属于数字信号处理的基本模块之一。在工程实践中,往往要求对信号处理要有实时性和灵活性,而已有的一些软件和硬件实现方式则难以同时达到这两方面的要求。 硬件描述语言(VHDL)是数字系统高层设计的核心,是实现数字系统设计新方法的关键技术之一。随着可编程逻辑器件在速度和集成度方面的飞速发展,使用FPGA来实现FIR滤波器,既具有实时性,又兼顾了一定的灵活性,越来越多的电子工程师采用FPGA器件来实现FIR滤波器,FIR数字滤波器在数字信号处理系统中应用非常普遍,常被用来对原始(或输入)样本数据进行消除高频、抑制噪声等处理以产生所需的输出。 数字滤波器的好坏对相关的众多工程技术领域影响很大,一个好的数字滤波器会有效地推动众多工程技术领域的技术改造和科学发展。所以对数字滤波器的工作原理、硬件结构和实现方法进行研究具有一定的意义。 本设计将采用现场可编程门阵列器件(FPGA)实现FIR数字滤波器的方案,底层采用VHDL语言描述设计文件,顶层使用底层产生的模块连接组成FIR滤波器,并在Max+plusII上进行实验仿真。由仿真结果判断设计的可行性。 1.软件及硬件平台

FIR数字滤波器设计与软件实现(精)讲解学习

实验二:FIR 数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1掌握用窗函数法设计 FIR 数字滤波器的原理和方法。 (2掌握用等波纹最佳逼近法设计 FIR 数字滤波器的原理和方法。 (3掌握 FIR 滤波器的快速卷积实现原理。 (4学会调用 MA TLAB 函数设计与实现 FIR 滤波器。 2. 实验内容及步骤 (1认真复习第七章中用窗函数法和等波纹最佳逼近法设计 FIR 数字滤波器的原理; (2调用信号产生函数 xtg 产生具有加性噪声的信号 xt ,并自动显示 xt 及其频谱,如图 1所示;

图 1 具有加性噪声的信号 x(t及其频谱如图 (3请设计低通滤波器,从高频噪声中提取 xt 中的单频调幅信号,要求信号幅频失真小于 0.1dB ,将噪声频谱衰减 60dB 。先观察 xt 的频谱,确定滤波器指标参数。 (4根据滤波器指标选择合适的窗函数,计算窗函数的长度 N ,调用 MATLAB 函数 fir1设计一个 FIR 低通滤波器。并编写程序,调用 MATLAB 快速卷积函数 fftfilt 实现对 xt 的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (5 重复 (3 , 滤波器指标不变, 但改用等波纹最佳逼近法, 调用MA TLAB 函数 remezord 和 remez 设计 FIR 数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○ 1MA TLAB 函数 fir1的功能及其调用格式请查阅教材; ○ 2采样频率 Fs=1000Hz,采样周期 T=1/Fs;

○ 3根据图 1(b和实验要求,可选择滤波器指标参数:通带截止频率 fp=120Hz,阻带截 至频率 fs=150Hz, 换算成数字频率, 通带截止频率 p 20.24 p f ωπ =T=π, 通带最大衰为 0.1dB , 阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为 60dB 。 3、实验程序框图如图 2所示,供读者参考。 图 2 实验程序框图 4.信号产生函数 xtg 程序清单(见教材 二、滤波器参数及实验程序清单 1、滤波器参数选取 根据实验指导的提示③选择滤波器指标参数: 通带截止频率 fp=120Hz,阻带截至频率 fs=150Hz。代入采样频率 Fs=1000Hz,换算成 数字频率,通带截止频率 p 20.24 p f

带通滤波器设计步骤

带通滤波器设计步骤 1、根据需求选择合适的低通滤波器原型 2、把带通滤波器带宽作为低通滤波器的截止频率,根据抑制点的频率距离带通滤波器中心频点距离的两倍作为需要抑制的频率,换算抑制频率与截止频率的比值,得出m 的值,然后根据m 值选择低通滤波器的原型参数值。 滤波器的时域特性 任何信号通过滤波器都会产生时延。Bessel filter 是特殊的滤波器在于对于通带内的所有频率而言,引入的时延都是恒定的。这就意味着相对于输入,输出信号的相位变化与工作的频率是成比例的。而其他类型的滤波器(如Butterworth, Chebyshev,inverse Chebyshev,and Causer )在输出信号中引入的相位变化与频率不成比例。相位随频率变化的速率称之为群延迟(group delay )。群延迟随滤波器级数的增加而增加。 模拟滤波器的归一化 归一化的滤波器是通带截止频率为w=1radian/s, 也就是1/2πHz 或约0.159Hz 。这主要是因为电抗元件在1弧度的时候,描述比较简单,XL=L, XC=1/C ,计算也可以大大简化。归一化的无源滤波器的特征阻抗为1欧姆。归一化的理由就是简化计算。 Bessel filter 特征:通带平坦,阻带具有微小的起伏。阻带的衰减相对缓慢,直到原理截止频率高次谐波点的地方。原理截止频率点的衰减具有的经验公式为n*6dB/octave ,其中,n 表示滤波器的阶数,octave 表示是频率的加倍。例如,3阶滤波器,将有18dB/octave 的衰减变化。正是由于在截止频率的缓慢变化,使得它有较好的时域响应。 Bessel 响应的本质截止频率是在与能够给出1s 延迟的点,这个点依赖于滤波器的阶数。 逆切比雪夫LPF 原型参数计算公式(Inverse Chebyshev filter parameters calculate equiations ) ) (cosh )(cosh 11Ω=--Cn n 其中 1101.0-=A Cn , A 为抑制频率点的衰减值,以dB 为单位;Ω为抑制频率与截止频率的比值 例:假设LPF 的3dB 截止频率为10Hz,在15Hz 的频点需要抑制20dB,则有: 95.91020*1.0==Cn ;Ω=15/10=1.5 1.39624.0988.2) 5.1(cosh )95.9(cosh 11===--n ,因此,滤波器的阶数至少应该为4

滤波器设计步骤

滤波器设计步骤: 1、确定滤波器阶数n; 2、电路实现形式选择,传递函数的确定; 3、电路中元器件的选择,包括运算放大器的选择、阻容值设置等,最后形成电路原理图; 4、仿真结果(幅频特性图)及优化设计; 5、调试注意事项,确定影响滤波器参数实现的关键元件。 每一种电路按照以上步骤完成设计,本周内完成!

1、有源低通滤波器f c =50kHz 一、最低阶数的选取 主要功能参数为: 1) 带内不平坦度α1=0.5dB 2) 阻带衰减α2≥40dB ,这里取45dB 3) 增益G=10 4) 通带范围50kHz 使用滤波器设计软件,计算得出:若选取巴特沃斯滤波器,最低阶数为n=9;若选取切比雪夫滤波器,得到同样满足要求的切比雪夫滤波器的最低阶数为n=6。由于高阶滤波器电路复杂,造价较高,所以在同样满足技术指标的情况下,选取滤波器的最低阶数,即n=6。 二、电路实现形式选择及传递函数的确定 实现切比雪夫低通滤波器的电路有许多种,这里选择无限增益多端反馈电路(MFB ),见图1。MFB 滤波器是一种常用的反相增益滤波器,它具有稳定好和输出阻抗低等优点。 图1 二阶MFB 低通滤波电路 图2滤波器的级联 如图2所示,电路由三个二阶MFB 低通滤波电路串联实现,在图1所示电路中,当f=0时,C 1和C 2均开路,所以M 点的电压为 1 21R R U U M -= M 点的电流方程 C I I I I ++=321 M I 2 I 3 I 1 I C V 2 V 1 N 4

2 3 22111sC U R U R U U R U U M M M M ++-=- (式1) 其中 M U R sC U 3 121-= (式2) 解式1和式2组成的联立方程,得到每个二阶MFB 低通滤波器的传递函数为 3 2212 321 3211 21 2 1111R R C C s R R R R R sC R R U U +???? ??+++- = 最后得出六阶切比雪夫低通滤波器的传递函数为 ? +???? ??+++- ? +???? ??+++-=6 5432 654 6534 5322123213211 21 4 11111111R R C C s R R R R R sC R R R R C C s R R R R R sC R R U U 9 8652 987 9857 8 1111R R C C s R R R R R sC R R +???? ??+++- 三、电路中元器件的选择 使用滤波器设计软件,计算得出每节电路的阻值容值,如图2所示。 图2 六阶切比雪夫低通滤波器 器件的选择: 选择运放时,应适应满足特定增益的要求和频率范围的运放。并且,为了达到最佳运用,还要考虑运放的上升速率。

自适应滤波器毕业设计论文

大学 数字信号处理课程要求论文 基于LMS的自适应滤波器设计及应用 学院名称: 专业班级: 学生姓名: 学号: 2013年6月

摘要自适应滤波在统计信号处理领域占有重要地位,自适应滤波算法直接决定着滤波器性能的优劣。目前针对它的研究是自适应信号处理领域中最为活跃的研究课题之一。收敛速度快、计算复杂性低、稳健的自适应滤波算法是研究人员不断努力追求的目标。 自适应滤波器是能够根据输入信号自动调整性能进行数字信号处理的数字滤波器。作为对比,非自适应滤波器有静态的滤波器系数,这些静态系数一起组成传递函数。研究自适应滤波器可以去除输出信号中噪声和无用信息,得到失真较小或者完全不失真的输出信号。本文介绍了自适应滤波器的理论基础,重点讲述了自适应滤波器的实现结构,然后重点介绍了一种自适应滤波算法最小均方误差(LMS)算法,并对LMS算法性能进行了详细的分析。最后本文对基于LMS算法自适应滤波器进行MATLAB仿真应用,实验表明:在自适应信号处理中,自适应滤波信号占有很重要的地位,自适应滤波器应用领域广泛;另外LMS算法有优也有缺点,LMS算法因其鲁棒性强特点而应用于自回归预测器。 关键词:自适应滤波器,LMS算法,Matlab,仿真

1.引言 滤波技术在当今信息处理领域中有着极其重要的应用。滤波是从连续的或离散的输入数据中除去噪音和干扰以提取有用信息的过程,相应的装置就称为滤波器。滤波器实际上是一种选频系统,他对某些频率的信号予以很小的衰减,使该部分信号顺利通过;而对其他不需要的频率信号予以很大的衰减,尽可能阻止这些信号通过。滤波器研究的一个目的就是:如何设计和制造最佳的(或最优的)滤波器。Wiener于20世纪40年代提出了最佳滤波器的概念,即假定线性滤波器的输入为有用信号和噪音之和,两者均为广义平稳过程且己知他们的二阶统计过程,则根据最小均方误差准则(滤波器的输出信号与期望信号之差的均方值最小)求出最佳线性滤波器的参数,称之为Wiener滤波器。同时还发现,在一定条件下,这些最佳滤波器与Wiener滤波器是等价的。然而,由于输入过程取决于外界的信号、干扰环境,这种环境的统计特性常常是未知的、变化的,因而不能满足上述两个要求,设计不出最佳滤波器。这就促使人们开始研究自适应滤波器。自适应滤波器由可编程滤波器(滤波部分)和自适应算法两部分组成。可编程滤波器是参数可变的滤波器,自适应算法对其参数进行控制以实现最佳工作。自适应滤波器的参数随着输入信号的变化而变化,因而是非线性和时变的。 2. 自适应滤波器的基础理论 所谓自适应滤波,就是利用前一时刻已获得的滤波器参数等结果,自动地调节现时刻的滤波器参数,以适应信号和噪声未知的或随时间变化的统计特性,从而实现最优滤波。所谓“最优”是以一定的准则来衡量的,最常用的两种准则是最小均方误差准则和最小二乘准则。最小均方误差准则是使误差的均方值最小,它包含了输入数据的统计特性,准则将在下面章节中讨论;最小二乘准则是使误差的平方和最小。 自适应滤波器由数字结构、自适应处理器和自适应算法三部分组成。数字结构是指自适应滤波器中各组成部分之间的联系。自适应处理器是前面介绍的数字滤波器(FIR或IIR),所不同的是,这里的数字滤波器是参数可变的。自适应算法则用来控制数字滤波器参数的变化。 自适应滤波器可以从不同的角度进行分类,按其自适应算法可以分为LMS自适应滤波

matlab数字滤波器设计程序

%要求设计一butterworth低通数字滤波器,wp=30hz,ws=40hz,rp=0.5,rs=40,fs=100hz。>>wp=30;ws=40;rp=0.5;rs=40;fs=100; >>wp=30*2*pi;ws=40*2*pi; >> [n,wn]=buttord(wp,ws,rp,rs,'s'); >> [z,p,k]=buttap(n); >> [num,den]=zp2tf(z,p,k); >> [num1,den1]=impinvar(num,den); Warning: The output is not correct/robust. Coeffs of B(s)/A(s) are real, but B(z)/A(z) has complex coeffs. Probable cause is rooting of high-order repeated poles in A(s). > In impinvar at 124 >> [num2,den2]=bilinear(num,den,100); >> [h,w]=freqz(num1,den1); >> [h1,w1]=freqz(num2,den2); >>subplot(1,2,1); >>plot(w*fs/(2*pi),abs(h)); >>subplot(1,2,2); >>plot(w1*fs/(2*pi),abs(h1)); >>figure(1); >>subplot(1,2,1); >>zplane(num1,den1); >>subplot(1,2,2); >>zplane(num2,den2);

毕业论文-数字滤波器设计

目录 摘要 (1) 第1章绪论 (2) 1.1数字滤波器的研究背景与意义 (2) 1.2数字滤波器的应用现状与发展趋势 (2) 1.3数字滤波器的实现方法分析 (4) 1.4本章小结 (4) 第2章数字滤波器的概述 (5) 2.1数字滤波器的基本结构 (5) 2.1.1IIR滤波器的基本结构 (5) 2.1.2FIR滤波器的基本结构 (7) 2.2数字滤波器的设计原理 (8) 2.2.1滤波器的性能指标 (9) 2.2.2IIR数字滤波器的设计方法 (9) 2.2.3FIR数字滤波器的设计方法 (10) 2.3IIR滤波器与FIR滤波器的分析比较 (12) 2.4本章小节 (13) 第3章数字滤波器的算法设计及仿真 (14) 3.1由模拟滤波器设计IIR数字滤波器 (14) 3.1.1巴特奥兹滤波器 (14) 3.1.2切比雪夫滤波器 (15) 3.1.3椭圆滤波器 (17) 3.2用MATLAB设计数字滤波器 (20) 3.2.1FDATool界面 (20) 3.2.2用Fdatool进行带通滤波器设计 (21) 3.3将系统函数由直接型化成级联型 (23) 3.3.1二阶节系数的确定 (24) 3.3.2系数转换成二进制码 (24) 3.4本章小结 (26) 第4章IIR带通滤波器的VHDL描述及仿真 (27) 4.1IIR带通滤波器的VHDL描述 (27) 4.2IIR带通滤波器的M ODELSIM仿真 (29) 4.2.1仿真波形 (29) 4.2.2仿真输出 (30) 4.3本章小节 (30)

第5章总结 (31) 5.1滤波器功能和性能总结 (31) 5.2设计心得和体会 (31) 第6章结束语 (32) 参考文献 (33) 附录 (34) 译文 (37) 外文原文 (41)

相关文档
最新文档