数字电路答案第四章 时序逻辑电路1

数字电路答案第四章 时序逻辑电路1
数字电路答案第四章 时序逻辑电路1

第四章 时序逻辑电路

本章介绍各种触发器的结构组成、工作原理、逻辑功能以及各种特性。触发器是由基本门电路组成的具有反馈连接、且输出状态不仅和输入状态有关,而且和输出原状态有关、具有记忆性的电路。本章还介绍时序逻辑电路的基本概念、组成结构,各种时序电路的分析和设计方法。本章的学习将为深入学习具有特定功能的中规模时序电路奠定良好的基础。

第一节 基本知识、重点与难点

一、基本知识

(一)触发器的基本概念 1. 触发器特点

触发器与组合逻辑电路不同,触发器的输出不仅与输入信号有关,而且还与触发器原来的状态有关。触发器具有记忆功能,是构成时序电路的基本单元电路。触发器具有两个稳定的状态0和1。在不同的输入信号作用下,触发器可以置成0,也可以置成1。当输入信号消失后,触发器能保持其状态不变。

2. 触发器控制信号

触发器的外部控制信号分为三类:

(1)置位信号、复位信号:置位信号和复位信号有高有效或低有效、同步或异步之分。置位信号D S 和复位信号D R 是低有效的异步信号,当信号有效时,触发器置1或清零,D S 和D R 不能同时有效。

(2)时钟脉冲信号:时钟脉冲信号为触发器的控制端,决定触发器的状态何时转换。 (3)外部激励信号:外部激励信号在CP 脉冲作用下控制触发器的状态转换。 3. 触发器类型

触发器有不同的分类方法,按触发方式分类,有:电位触发方式、主从触发方式和边沿触发方式。按逻辑功能分类,有:RS 触发器、D 触发器、JK 触发器和T 触发器等。

4. 触发器逻辑功能描述方法

触发器的逻辑功能是指触发器的次态与现态以及输入信号之间的逻辑关系。描述触发器的逻辑功能常用方法有:

(1)状态转换表与激励表 (2)特征方程 (3)状态转换图 (4)时序图

(二)触发器的基本类型 1. 基本RS 触发器

基本RS 触发器没有同步触发脉冲,输入信号直接控制输出端的状态。只要输入变化,输出立即变化。

基本RS 触发器的特征方程为:?????=++=+1

D D D D 1S R Q R S Q n

n

2. 同步RS 触发器

同步RS 触发器在时钟脉冲CP 有效时,如CP =1期间,触发器的输出随输入信号的变化而改变。在时钟脉冲有效期间,其特征表、特征方程与基本RS 触发器完全相同。同步RS 触发器为电平触发方式,特点是在CP =1整个时间内,触发器输出都会随输入信号的变化而变化。这种现象称为“空翻”。

3. 主从RS 触发器

主从RS 触发器由主触发器和从触发器两部分组成,主从RS 触发器在CP =1期间把输入信号送入主触发器,整个触发器的状态并不改变。当CP 下降沿到来时再将触发器的状态传入从触发器,触发器的状态才可能翻转。主从RS 触发器克服了同步RS 触发器的“空翻”现象。主从RS 触发器在时钟脉冲CP 下降沿时,其特征表、特征方程与基本RS 触发器完全相同。

4. 主从JK 触发器

主从JK 触发器的工作过程与主从RS 触发器相同,分为两步:CP =1期间,接收J 、K 端的外部信号并存入主触发器,从触发器状态不变;在CP 由1变为0时,主触发器状态不变,从触发器状态翻转;CP =0期间,主触发器不接收J 、K 端的外部信号,触发器的状态不变。在一个时钟周期内,主从JK 触发器状态只在CP 下降沿发生一次变换。在CP =1期间,如果J 、K 端的信号发生变化,主触发器的状态能够也只能够变化一次,称为主从JK 触发器的一次变化。

JK 触发器的特征方程为:n n n Q K Q J Q +=+1 5. 边沿JK 触发器

边沿JK 触发器在时钟脉冲的下降沿触发翻转。要求J 、K 信号在时钟脉冲CP 的下降沿之前一段时间到来。在CP =1、CP =0及CP 上升沿期间,J 、K 信号的任何变化都不会影响触发器的输出结果,因此,电路具有更强的抗干扰能力。其特征方程、激励表与主从JK 触发器完全一样。

6. 维持阻塞D 触发器

维持阻塞D 触发器为边沿触发器。在CP 脉冲上升沿状态翻转,翻转结果取决于CP 上升沿到达瞬间输入信号D 的状态。

D 触发器的特征方程为:D Q n =+1 (三)时序电路的基本概念

数字逻辑电路可分为两大类:组合逻辑电路和时序逻辑电路,前者简称组合电路,后者简称时序电路。在逻辑电路中,如果任一时刻的输出信号不仅取决于该时刻输入信号,而且还与电路原来的状态有关,或者说与电路原来的输入信号有关,具备这种功能的电路被称为时序逻辑电路。

1. 时序电路的特点

时序电路具有记忆能力,能保存电路原来的输入状态;时序电路含有存储电路,这些存储电路多数由触发器构成。时序电路有两大类:同步时序电路和异步时序电路。

2. 时序电路与组合电路的区别

时序电路在某一时刻的输出不仅取决于该时刻电路的输入,还取决于该电路原来的状态,也就是说与电路原来的输入有关。而组合电路在某一时刻的输出仅仅取决于该时刻电路的输入。

3. 同步时序电路

电路中有一个统一的时钟脉冲源,存储电路里所有触发器的状态变化都与同一个时钟脉冲同步。在电路结构上,存储电路中各触发器的时钟脉冲端接同一个时钟脉冲源。

4. 异步时序电路

电路中没有统一的时钟脉冲,触发器状态的变化不与时钟脉冲同步。

(四)同步时序电路的分析与设计

l. 同步时序电路的分析

时序电路完成的逻辑功能不同,组成结构不同,其分析步骤也有所不同。对具体电路分析时,应能做到灵活应用。

同步时序电路分析的一般步骤如下:

(1)根据给定的同步时序电路列写方程:时序电路的输出方程,各触发器的驱动方程。

(2)将触发器的驱动方程代入对应触发器的特征方程,求出时序电路的状态方程。

(3)根据时序电路的输出方程和状态方程,计算时序电路的状态转换表、画出状态转换图或时序图。状态转换表、状态转换图或时序图三种形式之间可以互相转换。

(4)根据上述分析结果,用文字描述给定同步时序电路的逻辑功能。

2. 同步时序电路的设计

设计是根据给定具体的逻辑问题,给出符合其功能要求的时序电路。设计是分析的逆过程,设计又称为综合。这里只给出用小规模集成电路进行设计的步骤,设计的基本思想是用最少的触发器和门电路实现符合要求的时序电路。

(1)根据给定的逻辑功能建立原始状态转换图

分析给定的逻辑问题,定义输入变量、输出变量以及电路的状态。根据实际的逻辑问题,分析每一种输入情况下的状态变化和相应的输出,从而构成原始状态转换图或原始状态转换表。

(2)状态化简

原始状态转换图可能包含多余的状态,状态数目越多,设计的电路越复杂。状态化简,消去多余的状态,求出最小化的状态转换图或状态转换表。

(3)状态编码

对简化后的状态转换表中每一个状态用一个二进制代码来表示,即进行状态编码,也叫做状态分配。状态编码的方案不同,所得到的电路结构及其复杂程度不同,状态编码应当以有利于触发器驱动方程的简化为原则。

(4)选择触发器

选择不同类型的触发器,设计出的电路不同。利用编码后的状态转换表,根据选定触发器的类型,求驱动方程和输出方程。

(5)画逻辑电路图

(6)画全状态转换图

全状态转换图指的是包含触发器所有状态组合的转换图。检查电路是否符合设计要求,符合要求,则设计完毕。否则,需修改设计。

(五)异步时序电路

同步时序电路中有统一的时钟信号,异步时序电路没有统一的时钟信号。因此,异步时序电路的分析与设计与同步时序电路有所不同。

1. 异步时序电路的分析

(1)分析电路,列写各触发器的驱动方程和时钟方程;

(2)根据触发器的特征方程,求出电路的状态方程;

(3)求状态转换表;求解状态转换表时,首先分析触发器有无时钟信号,在有时钟信号的前提下,再计算状态的转换。

(4)确定电路的逻辑功能。

2. 异步时序电路的设计

(1)根据设计要求,确定状态数目、选择状态编码,列写状态转换表。

(2)确定触发器类型,选择每个触发器的时钟信号,求时钟方程、驱动方程和输出方程;

(3)画出逻辑电路图或波形图。

二、重点与难点

重点:

1. 触发器的特点及分析

在数字系统设计中,触发器是一个重要的元件,因为它是组成各种时序电路的基本单元,也是分析设计时序电路的基础。

2. 触发器逻辑功能的分类及其优缺点

按照逻辑功能的不同,触发器分为RS、JK、D触发器等,通过分析各类触发器的优缺点,深入了解、掌握并灵活应用各类触发器。

3. 触发器功能描述

要求能用特征表、激励表、特征方程及状态转换图描述和分析触发器的功能。分析边沿结构触发器和主从结构触发器的电路结构,掌握各种触发器的逻辑功能。

4. 时序逻辑电路的概念

时序逻辑电路的次态由电路的输入信号和电路的初态共同决定。同组合逻辑电路相比,时序逻辑电路的电路结构、逻辑功能以及描述方法的不同。

5. 同步时序电路的设计

时序逻辑电路在数字系统中起着非常重要的作用,熟练地设计出符合要求的电路,是数字电子技术学习的目标之一。现代电子系统的集成度越来越高,功能越来越强,系统设计者必须具有同步时序电路设计的基础。

6. 异步时序电路的分析

分析电路的能力是数字电子技术课程学习的重要内容之一,无论是同步时序电路,还是异步时序电路,分析方法是灵活的,可以按照分析步骤一步一步进行,也可根据实际情况省去其中的一步或几步。

难点:

1. 正确理解触发器的电路结构及逻辑功能

电路结构以维持阻塞和主从结构为代表,逻辑功能以D和JK触发器为代表。

2. 根据输入波形画触发器的输出波形

触发器输出波形分析时应注意以下几个问题。

(1)异步置位信号和异步复位信号

由于异步信号不受其他信号的约束,因此分析输出波形时应特别注意。这些信号多为低有效,但是不排除高有效的置位和复位信号,在少数情况下,有同步置位和同步复位信号。

(2)时钟信号(CP)

时钟信号有上升沿有效,也有下降沿有效,应注意电路符号的标识。

(3)触发器的激励信号

确定异步信号无效、时钟边沿有效后,才能根据给定触发器的逻辑功能确定输出波形。

3. 同步时序电路的分析与设计方法

这里介绍的同步时序电路的分析与设计方法不仅适合于中、小规模集成电路,同时也是今后进一步深入学习大规模集成电路和复杂数字系统的基础。

4. 异步时序电路的分析与设计

异步时序电路的分析与设计虽然不是课程的重点,但是还是应该建立异步时序电路的分析与设计的概念,全面了解时序电路。

三、考核题型与考核重点

1. 概念与简答

题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.分析与设计

题型1为根据组合和时序混合的综合电路,分析得出状态转换图或时序图,描述其功能; 题型2为根据给定的逻辑功能,设计出符合要求的时序电路。 建议分配的分数为8~12分。

第二节 典型题解

例题4.1 由两个与非门组成的基本RS 触发器电路如例题4.1图(a )所示,已知输入信号波形如例题4.1图(b )所示,,试给出该触发器的特征方程并画出触发器Q 、Q 端波形。

解:基本RS 触发器的特征方程为

?????=++=+1

D D D D 1S R Q R S Q n n 根据特征方程或特特性表、输入信号波 形,画触发器Q 、Q 端波形如例题4.1图 (c )所示。在画波形的过程中,特别要注 意的是,当D S 、D R 同时为低电平时,Q 和

Q 同时变为1,如果D S 、D R 同时由0变成

1,Q 及Q 的状态无法确定。在其他D S 、 D R 的取值情况下,Q 和Q 互为反状态。

例题4.2 JK 触发器的输入波形如例题 4.2图(a )所示,分别画出主从JK 触发器

和负边沿JK 触发器输出Q 端波形,初态 为1。 解:主从JK 触发器和边沿JK 触发器的区别在于前者有一次变化问题,后者没有。因

此在CP =1期间,J 、K 信号有改变时,主从JK 触发器有一次变化问题。在CP =1期间,J 、

7

6

5 4

3

2 1

0 例题4.1图

(a ) (b ) & Q

&

Q

R D S D

S D

R D

(c )

S D R D Q Q

CP

J

K

主从型Q

负边沿型Q

例题4.2图

CP J

K

(a )

(b )

K 信号没有改变的情况下,则利用触发器的特征方程分析触发器输出Q 端波形,分析结果如习题4.2图(b )所示。

例题4.3 已知维持阻塞D 触发器组成的电路如例题4.3图(a )所示,电路输入波形如例题4.3图(b )所示,试画出触发器输出端Q 1和Q 2的波形,设触发器的初态为0。

解:该电路的波形分析应注意以下几个方面的问题。

(1)两个触发器的时钟分别由两个不同的时钟信号控制,因此两个触发器不是同时翻转,Q 1、Q 2分别在CP 1和CP 2的上升沿翻转。

(2)触发器FF1的异步清零端R 端与另一触发器的2Q 相连,因此,当Q 2=1时,Q 1

清零。

(3)触发器FF1接成计数状态,11Q D =,触发器FF2受Q 1控制,D 2= Q 1。 Q 1和Q 2的波形如习题4.3图(c )所示。

例题4.4数字系统中常需要一种被称为单脉冲发生器的装置,习题4.4图(a )是一个用JK 触发器组成的单脉冲发生器,用按钮S 控制脉冲信号的产生。试分析该电路的功能,若输入信号波形如习题4.4图(b )所示,画出Q 1、Q 2波形。

解:(1)未按按钮时,按钮S 在1位置。此时,J 1=0、K 1=1,Q 1=0;02=R ,Q 2=0,不产生单脉冲。

(2)按动按钮时,按钮S 在2位置。

例题4.3图

(a )

(b ) CP 1 CP 2 (c ) CP CP CP 1 CP 2 Q 1

Q 2

例题4.4图

(a ) (b )

S CP (c ) Q 1 Q 2

S CP

在第一个时钟信号CP 的下降沿作用下,J 1=1、K 1=1,Q 1翻转,Q 1由0变为1,Q 1有上升沿,Q 2不会改变,Q 2=0。

在第二个时钟信号CP 的下降沿作用下,J 1=1、K 1=1,Q 1继续翻转,Q 1由1变为0,Q 1有下降沿,由于J 2=1、K 2=1,Q 2改变,由0变为1。又由于2Q 与1R 相连,当Q 2由0变为1时,在2Q 端产生一个清零信号,使Q 1清零不再改变。

结论:每按动一次按钮,在Q 1端就会产生一个单脉冲,脉冲宽度与CP 时钟信号周期相同。Q 1、Q 2波形如习题4.4图(c )所示。

例题4.5 试分析例题4.5图所示电路,求电路的状态转换表,说明电路的功能。 解:(1)写出电路的驱动方

程和输出方程

????

?==2

12

1D D K D D J Q D D Q D D Q D D Q D D L 21212121+++=

(2)根据触发器的特性方程 求电路的状态方程

Q D D Q D D Q n ?+=+21211

(3)根据状态方程和输出方程

计算得到电路的状态转换表如例题 4.5表所示。

例题4.5表

(4)结论:如果将输入信号D 1、D 2看作是两个加数,将Q n 看作是低位来的进位位,Q n +1看作是进位位输出,L 看作是和,则该电路可以看作是一个串行进位的加法器电路。实现加法运算时,由低位向高位逐次输入,进位信号由触发器保存,留给高一位加法运算时使用。

例题4.6 试分析例题4.6图(

a )所示电路,画出电路的全状态转换图,说明电路的功能。

解:该电路是异步时序电路,要列写时钟方程,分析时应注意时钟信号成立的条件下,再计算状态的变化。

(1)写出电路的驱动方程和时钟方程

???

??======1111

2012

11020K Q

Q J K J K Q J ; ???

??===CP CP Q CP CP

CP 2

010

Q 0

Q 1

Q 2

???

??======1111

2012

11020K Q

Q J K J K Q J ; ???

??===CP CP Q CP CP

CP 2

010

(2)根据触发器的特性方程求电路的状态方程

????

???===+++2011

21

110

210Q Q Q Q Q Q Q Q Q n n n (3)根据状态方程和时钟方程计算得到电路的全状态转换表如例题4.6表所示,画出

状态转换图如例题4.6图(b )所示。

例题4.6表

例题4.7 试用下降沿JK 触发器,设计一个3位同步二进制加法计数器。

解:根据题意,列出状态转换表,再根据JK 触发器的激励表如例题4.7表(a )所示,填写各触发器JK 信号的取值,如例题4.7表(b

)所示。

根据例题4.7表(b ),作出各激励信号的卡诺图如例题4.7图(a )所示。

由卡诺图求该电路的驱动方程如下

???

??======1

00

110122K J Q K J Q Q K J 根据触发器的驱动方程画出逻辑图如例题4.7图(b )所示。

例题4.8

试用D 触发器设计一个按下列规律进行状态转换的同步计数器:Q 2Q 1Q 0=0,4,6,7,3,1,再返回到0,画出逻辑图和波形图。

解:根据题意,列出状态转换表,再根据D 触发器的激励表,填写各触发器D 信号的取值,如例题4.8表所示。

根据例题4.8表,作出各激励信号的卡诺图如例题4.8图(a )所示。

J 2 K 2 J 1 K 1 J 0 K 0 (a)

(b)

D 2

D 1 D 0

(a)

由卡诺图求该电路的驱动方程如下

???

??===10

2102Q

D Q D Q D 根据触发器的驱动方程画出逻辑图如例题4.8图(b )所示。

第三节 题解

自我检测题解

题4.1答:具有两个稳定状态,能够存储一位二值信息的基本单元叫 触发器 。

题4.2答:触发器有_2_个稳定状态,它可记录_1_位二进制代码。若要存储8位二进制信息需要_8_个触发器。

题4.3答:下列触发器中对输入信号没有约束条件的是_D_。 (A )基本RS 触发器; (B )主从RS 触发器; (C )主从JK 触发器; (D )边沿D 触发器。 题4.4答:或非门构成的基本RS 触发器,若要使触发器保持原态,则输入信号为:_A_。 (A )R =S =0; (B )R =S =1; (C )R =0,S =1; (D )R =1,S =0。

题4.5答:对于JK 触发器,如果令J =K ,则JK 触发器可以完成_T _触发器的逻辑功能;若另K J =,则可完成_D _触发器的逻辑功能。

题4.6答:主从JK 触发器电路状态变化通常发生在_B_。 (A )CP 上升沿; (B )CP 下降沿; (C )在CP =1时; (D )在CP =0时。 题4.7答:维持阻塞D 触发器是_A_。

(A )CP 上升沿触发; (B )CP 下降沿触发; (C )在CP =1时触发; (D )在CP =0时触发。

题4.8答:对于D 触发器,如果令Q D =,则D 触发器可以完成 计数 触发器的逻辑功能。

题4.9答:写出JK 触发器、D 触发器、T 触发器、RS 触发器的特征方程。

JK 触发器特征方程:n n n Q K Q J Q +=+1 D 触发器特征方程:D Q n =+1 T 触发器特征方程:n n n Q T Q T Q +=+1

RS 触发器特征方程: ?????=+=+0

1SR Q K Q J Q n

n n

题4.10答:边沿触发器与主从触发器相比,解决了 抗干扰 问题。

题4.11答:时序逻辑电路一般由 存储电路 和 组合电路 两部分组成。 题4.12答:时序逻辑电路可以分为 异步时序逻辑电路 和 同步时序逻辑电路 两大类。 题4.13答:全面描述一个时序电路的逻辑功能有三个方程组,分别是 输出方程 、 驱动方程 和 状态方程 。

题4.14答:有四个JK 触发器,R 和S 无效,J 和K 接高电平,第一个JK 触发器的时钟接在外加时钟信号,其输出Q 端作为第二个JK 触发器的时钟,第二个的输出Q 端作为第三个JK 触发器的时钟,第三个的输出Q 端作为第四个JK 触发器的时钟,且每个JK 触发器时钟为低电平有效,问电路完成什么功能? 加法计数器 。若每个JK 触发器时钟为高电平有效,问电路又完成什么功能? 减法计数器 。

题4.15答:指出下列哪种电路结构类型的触发器能构成移位寄存器,哪些不能构成移位寄存器。如果能够,请在( )内画√,否则画×。 (A )基本RS 触发器(×); (B )同步RS 触发器(×); (C )主从结构触发器(√);

(D )维持阻塞触发器(√); (E )用CMOS 传输门组成的边沿触发器(√)。 题4.16答:同步计数器和异步计数器比较,同步计数器的显著优点是 A 。

(A )工作速度高; (B )触发器利用率高; (C )电路简单; (D )不受CP 时钟控制。

思考题题解

题4.1 与非门构成的基本RS 触发器,在什么情况下,触发器出现不定状态?

答:与非门构成的基本RS 触发器,在0==D D S R 的情况下,触发器出现不定状态。 题 4.2 下降沿触发的主从触发器,相对于时钟信号而言输入激励信号在什么时刻前加入,输出信号能获得稳定的输出?

答:下降沿触发的主从触发器,相对于时钟信号CP 而言输入激励信号在CP 上升沿到来之前加入,且一直保持到CP 下降沿到来之后,输出信号才能获得稳定的输出。

题4.3 边沿触发器与主从触发器比较,具有哪些主要优点?

答:边沿触发器与主从触发器比较,具有较强的抗干扰能力,可靠性高。边沿触发器只要求激励信号在时钟触发边沿的前后几个延迟时间内保持不变,触发器就可以稳定地工作。

题4.4 如果按照电路结构分类,触发器可以分为哪几类?

答:如果按照电路结构分类,触发器可以分为基本RS 、同步RS 、主从触发器、边沿触发器等几类。

题4.5 为什么RS 触发器具有约束条件?

答:因为当RS 触发器的激励信号同时从有效变为无效时,触发器的状态不能确定,所以对RS 触发器提出了不能使用的约束条件。

题4.6 如何利用JK 触发器构成T 触发器?

答:令JK 触发器激励信号J =K ,JK 触发器可以完成T 触发器的逻辑功能。 题4.7 如何利用D 触发器构成JK 触发器?

答:令D 触发器的激励信号n n Q K Q J D +=,D 触发器可以完成JK 触发器的逻辑功能。 题4.8 触发器逻辑功能的描述方法有哪几种?

答:触发器逻辑功能的描述方法有特征表、特征方程、状态转换图和激励表等。

题4.9 时序逻辑电路与组合逻辑电路的主要区别是什么?

答:时序逻辑电路具有记忆功能,能保存电路原来的输入状态;而组合逻辑电路不能记忆电路原来的输入状态。

题4.10 同步时序逻辑电路与异步时序逻辑电路的主要区别是什么?

答:同步时序电路是在同一个时钟脉冲作用下,所有触发器的状态同时发生变化;而异步时序电路没有统一的时钟脉冲,触发器的状态变化有先有后。

题4.11 描述时序电路逻辑功能的方法有哪几种?它们之间有何种关系?

答:描述时序电路逻辑功能的方法有状态转换图、状态转换表和时序图等,它们之间可以互相转换。

题4.12 状态转换表和状态转换图是如何构成的?

答:状态转换表用表格的方式表示输入与状态转换之间的关系。用图形方式表示输入与状态转换之间的关系,即状态转换图,简称状态图。

状态转换表由几列数据组成:输入信号、现态、次态和输出。首先将触发器的输入以及现态的全部组合列入表内,再将输入和现态的取值代入状态方程,求出触发器的次态;带入输出方程,求时序电路的输出,将次态与输出填入表内构成状态表。

状态转换图由以下方法构成:圆圈内填写状态的具体取值,状态转换的方向用带箭头的弧线表示,箭尾表示初态,箭头指向次态,弧线旁注明状态转换时输入信号的要求,状态转换图可以更加形象地描述时序电路的状态转换过程。

题4.13 时序逻辑电路的分析步骤大致分为哪几步?

答:同步时序电路的分析步骤大致分为:

1. 根据给定的同步时序电路列写输出方程、各触发器的驱动方程。

2. 将触发器的驱动方程代入对应触发器的特征方程,求时序电路的状态方程。

3. 根据时序电路的输出方程和状态方程,计算时序电路的状态转换表、画出状态转换图或时序图。描述时序电路状态转换的方式可以是这三种形式中的任何一种。

4. 根据上述分析结果,用文字描述给定同步时序电路的逻辑功能。

题4.14 异步时序电路与同步时序电路比较,分析方法有哪些不同?

答:同步时序电路有统一的时钟信号,在时钟脉冲作用下电路中所有触发器状态同时改变,因此触发器的次态由激励信号和现态决定。由于异步时序电路没有统一的时钟信号,分析异步时序电路时,首先要看各触发器的时钟信号是否有效,当时钟信号有效时,再由激励信号和现态计算触发器次态的变化。

题4.15 同步时序电路的设计步骤大致分为哪几步?

答:设计同步时序电路的步骤大致分为以下几步:

1. 根据给定的逻辑功能建立原始状态转换图

分析给定的逻辑问题,定义输入变量、输出变量以及电路的状态。根据实际的逻辑问题,分析每一种输入信号情况下的状态变化和相应的输出,构成原始状态转换图或状态转换表。

2. 状态化简

原始状态转换图不一定是最简状态转换图,可能包含多余的状态,需要进行状态的化简,求出最小化的状态转换图或状态转换表。

3. 状态编码

对化简后的状态转换表中每一个状态用一个二进制代码来表示,即进行状态编码。

4. 选择触发器

选择触发器的类型,根据选定触发器的类型,求驱动方程和输出方程。

5. 画逻辑电路图

6. 画全状态转换图

全状态转换图指的是指包含触发器所有状态组合的转换图。画全状态转换图,检查是否符合设计要求,电路能否自启动。

题4.16 什么是等价状态?

答:两个状态如果符合下述条件:(1)在相同的输入条件下具有相同的输出;(2)在相同的输入条件下次态也等价;则称这两个状态为等价状态。

习题题解

习题4.1 与非门组成的基本RS 触发器,当在R D 和S D 端加习题 4.1图(a )和(b )所示波形时,试分别绘出Q 的波形,设触发器的初态为0。

解:根据与非门组成的基本RS 触发器特征表以及输入信号波形,画出Q 的波形如习题4.1图(c )和(d )所示。

习题4.2 试用基本RS 触发器构造一个消除机械开关震颤的防抖动电路,分析消除抖动原理,机械开关产生的波形如习题4.2图(a )所示。

解:习题4.2图(b )是用基本RS 触发器构成的防抖动电路。利用触发器的存储功能,在触发器的输出端不再有抖动现象。

如习题4.2图(b )所示,当开关拨向1端,S =1,R =0,触发器置0。当开关由1端拨向2端,S =0,R =1,触发器置1。如果由于开关的抖动,S 在0和1之间频繁转换,R =1不变,输入条件的改变不会使触发器的状态发生变化。当开关由2端拨向1端,S =1,R =0,触发器置0,当开关的抖动导致R 信号变化,也不会影响触发器输出状态。基本RS 触发器波形分析如习题4.2图(c )所示。

S D

S D (a )

(b )

R D

O

t

O

t

R D

O

t

O

t

习题4.1图 S D S D

(c ) (d ) R D

O t O

t

R D O t O

t

Q Q O t O

t

习题4.2图

(c )

(a )

(b )

&

Q

S

& Q

R

5V 1

2 S

R

Q

习题4.3 习题4.3图(a

)是一个锁存器逻辑图,D 是输入信号,CP 是锁存命令,若CP 和D 的波形如习题4.3图(b )所示,试绘出Q 及Q 的波形。

(资料素材和资料部分来自网络,供参考。可复制、编制,期待你的好评与关注)

习题4.3图

(a )

(b )

CP

1 1

Q Q ≥1 &

D D

(c ) CP D Q Q

习题4.4图

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

时序逻辑电路试题

第五章时序电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 》 5.N个触发器可以构成能寄存位二进制数码的寄存器。 +1 6.五个D触发器构成环形计数器,其计数长度为。 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 [ 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用级触发器。 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8 位,完成该操作需要 时间。 μS μS μS [ 14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 =A B ,K =B A + =A B ,K =B A =B A +,K =A B =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用 个触发器。 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) ~ 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( ) 6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( ) 10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N 级 触发器来实现其电路,则不需检查电路的自启动性。( ) 12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) < 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使 用同步二进制计数器。( ) 14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是 短暂的过渡状态,不能稳定而是立刻变为0状态。( )

第六章 时序逻辑电路(阎)

第六章时序逻辑电路

6.1 概述 一、时序逻辑电路的特点 1.功能上:任一时刻的输出不仅取决于该时刻的输入, 还与电路原来的状态有关。 例:串行加法器,两个多位数从低位到高位逐位相加 2. 电路结构上 ①一定包含存储电路 ②存储器状态和输入变量共同决 定输出.

二、时序电路的一般结构形式与功能描述方法

可以用三个方程组来描述:?????===),...,,,...,,(... ),...,,,,....,,() ,(21211212111l j l i q q q x x f y q q q x x x f y Q X F Y 输出方程?????===),...,,,,...,,(...),...,,,,...,,(),(21211212111l i k l i q q q x x x g z q q q x x x g z Q X F Y 驱动方程?????===+++) ,...,,,,...,,(...),...,,,,...,,() ,(2121121211111n l n n i l n l n l n n i n n n q q q z z z h q q q q z z z h q Q Z H Q 状态方程

三、时序电路的分类 1、同步时序电路与异步时序电路 同步:存储电路中所有触发器的时钟使用统一的cp, 触发器状态变化发生在同一时刻。 异步:没有统一的cp,触发器状态的变化有先有后。 2、Mealy 型和Moore 型 Mealy 型:Moore 型:仅取决于电路状态有关、与) Q (F Y Q X ) Q ,X (F Y ==

数字电子技术基础实验三 时序电路设计

数字电子技术基础 实验报告 题目:实验三时序电路设计 小组成员: 小组成员:

实验三时序电路设计 一、实验目的 1.熟悉使用QuartusⅡ软件内嵌函数,实现脉冲信号; 2.了解掌握实验开发板上数码管和LED部分 3.强化对74161二进制计数器、7447七段译码器、74194移位寄存器的理解和应用。 二、实验要求 要求1:参照参考内容,用QuartusⅡ软件内嵌函数ipm_counter 实现50M分频,输出频率为1Hz秒脉冲信号,用实验板上绿色LED灯观察。 要求2:参照参考内容中数码管显示控制电路设计方法,用74161二进制计数器、7447七段译码器和若干门电路,用原理图输入方法实现一个七段数码管上显示0、1、2、3、4、5、0、2、4、1、3、5。 要求3:参照参考内容,用74161二进制计数器、74194移位寄存器和若干门电路,用原理图输入方法实现彩灯控制器电路设计。 验收要求:将要求2和要求3同时在电路上实现,验收时能够说明电路设计的原理。 注:如果电脑软件出现Megafunction无法启用,可利用绑定按键开关作为时钟信号,验收时需要演示波形仿真结果。 三、实验设备 (1)电脑一台; (2)数字电路实验箱; (3)数据线一根。 (4)EDO实验开发板一个 四、实验原理 要求1:(1)用QuartusⅡ软件内嵌函数ipm_counter实现50M分频,

输出频率为1Hz秒脉冲信号,并用实验板上绿色LED灯观察。 要求2: (1)74161二进制计数器实现输出序列逻辑;

(2)7447七段译码器驱动七段译码管,共阳极数码管显示; (3)经过卡诺图化简实现码制转换所需序列; 要求3: (1)74161二进制计数器实现输出序列逻辑,同上; (2)四位双向移位寄存器,具有左移,右移、保持、等功能。

数字电路答案第四章 时序逻辑电路1

第四章 时序逻辑电路 本章介绍各种触发器的结构组成、工作原理、逻辑功能以及各种特性。触发器是由基本门电路组成的具有反馈连接、且输出状态不仅和输入状态有关,而且和输出原状态有关、具有记忆性的电路。本章还介绍时序逻辑电路的基本概念、组成结构,各种时序电路的分析和设计方法。本章的学习将为深入学习具有特定功能的中规模时序电路奠定良好的基础。 第一节 基本知识、重点与难点 一、基本知识 (一)触发器的基本概念 1. 触发器特点 触发器与组合逻辑电路不同,触发器的输出不仅与输入信号有关,而且还与触发器原来的状态有关。触发器具有记忆功能,是构成时序电路的基本单元电路。触发器具有两个稳定的状态0和1。在不同的输入信号作用下,触发器可以置成0,也可以置成1。当输入信号消失后,触发器能保持其状态不变。 2. 触发器控制信号 触发器的外部控制信号分为三类: (1)置位信号、复位信号:置位信号和复位信号有高有效或低有效、同步或异步之分。置位信号D S 和复位信号D R 是低有效的异步信号,当信号有效时,触发器置1或清零,D S 和D R 不能同时有效。 (2)时钟脉冲信号:时钟脉冲信号为触发器的控制端,决定触发器的状态何时转换。 (3)外部激励信号:外部激励信号在CP 脉冲作用下控制触发器的状态转换。 3. 触发器类型 触发器有不同的分类方法,按触发方式分类,有:电位触发方式、主从触发方式和边沿触发方式。按逻辑功能分类,有:RS 触发器、D 触发器、JK 触发器和T 触发器等。 4. 触发器逻辑功能描述方法 触发器的逻辑功能是指触发器的次态与现态以及输入信号之间的逻辑关系。描述触发器的逻辑功能常用方法有: (1)状态转换表与激励表 (2)特征方程 (3)状态转换图 (4)时序图 (二)触发器的基本类型 1. 基本RS 触发器 基本RS 触发器没有同步触发脉冲,输入信号直接控制输出端的状态。只要输入变化,输出立即变化。 基本RS 触发器的特征方程为:?????=++=+1 D D D D 1S R Q R S Q n n

同步时序电路的设计步骤

同步时序电路的设计步骤 同步时序电路的设计步骤 同步时序电路的分析是根据给定的时序逻辑电路,求出能反映该电路功能的状态图。状态图清楚地表明了电路在不同的输入、输出原状态时,在时钟作用下次态状态的变化情况。同步时序电路的设计的设计是分析的反过程,其是根据给定的状态图或通过对设计要求的分析得到的状态图,设计出同步时序电路的过程。 这里主要讨论给定状态图的情况下的同步时序电路的设计,对于具体的要求得到状态图的过程一般是一个较复杂的问题,这是暂不讲。根据已知状态图设计同步时序电路的过程一般分为以下几步: 1.确定触发器的个数。首先根据状态的个数来确定所需要触发器的个数,如给定的状态个数为n,由应满足 n≤2K,K为实现这来状态所需要的触发器的个数。(实际使用时可能给定的状态中存在冗余项,这时一般还须对状态进行化简。) 2.列出状态转移真值表。根据状态列出状态转移真值表,也称状态表、状态转移表。 3.触发器选型。选择合适的触发器,通常可选的触发器有:JK-FF,D-FF,T-FF,一般使用较广的为JK-FF。根据状态图和给出的触发器的型号写出其输入方程,通常在写输入方程时须对其进行化简,以使电路更简单。 4.求出输出方程。根据状态表,求出输出逻辑函数Z的输出方程,还过有些电路没有独立的输出,这一步就省了。 5.画出逻辑图。根据输入方程、输出方程画出逻辑电路图。 6.讨论设计的电路能否自启动。在设计的电路中可能出现一些无关的状态,这些状态能否经过若干个时钟脉冲后进行有效的状态。 同步时序电路设计举例 例按下图状态图设计同步时序电路。 1.根据状态数确定触发器的数目:由状态图可以看出,其每个状态由两个状态,故可用两个触发器。其变量可 用Q 1,Q 表示; 2.根据状态图列出状态表:状态表的自变量为输入变量x和触发器当前状态Q 1 n,Q n,而应变量为触发器的次态 Q 1n+1Q n+1、及输出z,列表时将自变量的所有组合全部列出来,其中当Q 1 n Q n=01的状态为不出现,其输出可看作任意 项处理。

数字电路时序分析.pdf

数字电路时序分析 1数字电路时序分析 前面介绍了对器件之间的互连系统进行建模所需要的知识,包括对信号完整性的详细分析并估算了由于非理想因素引起的时序变化。但是要正确设计一个数字系统还需要使系统中器件之间可以互相通信,涉及到的内容主要是设计正确的时序,保证器件的时钟/锁存信号与数据信号之间保证正确的时序关系,满足接收端要求的最小建立和保持时间,使得数据可以被正确的锁存。 在本章中将会介绍共用时钟总线(common-clock)和源同步总线(source synchronous)的基本的时序方程。设计者可以利用时序方程来跟踪分析影响系统性能的有时序要求的器件,设置设计目标,计算最大的总线频率和时序裕量。 1.1. 共用时钟定时(common-clock timing) 在共用时钟总线中,总线上的驱动端和接收端共享同一个时钟。图8.1为一个共用时钟总线的例子,是处理器与外围芯片之间的总线接口,由处理器向外围芯片发送数据。图中还示出了位于每一个输入输出单元(I/O cell)的内部锁存器。完成一次数据传输需要两个时钟脉冲,一个用于将数据锁存到驱动端触发器,另一个用于将数据锁存到接收端触发器。整个数据传输过程分为以下几个步骤: 图8.1 共用时钟总线示意图 a.处理器内核产生驱动端触发器的有效输入D p。

b.系统时钟(clk in)的边沿1由时钟缓冲器输出并沿着传输线传播到处理器用于将驱动端触发器的输入(D p)锁存到输出(Q p)。 c.信号Q p沿着传输线传播到接收端触发器的输入(D c),并由第二个时钟边沿锁存。这样有效数据就在外围信号的内核产生了。 基于前面对数据传输过程的分析,可以得到一些基本的结论。首先,电路和传输线的延时必须小于时钟周期,这是因为信号每次从一个器件传播到另一个器件需要两个时钟周期:第一个周期——驱动端触发器将数据锁存到输出(Qp),第二个周期——接收端触发器将输入数据锁存到芯片内核。由电路和PCB走线引起的总延时必须小于一个时钟周期,这一结论限制了共用时钟总线的最高理论工作频率,因此设计一个共用时钟总线时必须考虑每部分的延时,满足接收端的建立和保持时间(建立和保持时间是为了保证能够正确地锁存数据,数据应该在时钟边沿来到之前和之后必须保持稳定的最小时间,这两个条件必须满足)。 1.1.1.共用时钟总线的时序方程 图8.2的时序图用于推导共用时钟总线的时序方程,每个箭头都表示系统中的一个延时,并在图8.1中已表示出来。实线表示的定时回路(timing loop)可用于推导建立时间时序裕量的计算公式,虚线表示的定时回路可用于推导保持时间时序裕量的计算公式。下面会介绍如何使用定时回路来得到时序方程。 图8.2 共用时钟总线的时序图 时延分为三个部分:T co、飞行时间(flight time)和时钟抖动。T co为时钟有效到数据输出有效的时间;飞行时间(T flt)是指PCB上传输线的延时;时钟抖动

第五章时序逻辑电路

第五章时序逻辑电路

第五章 触发器 本章教学目的、要求: 1. 掌握各种触发器的逻辑功能和工作原理。 2. 熟悉各种触发器的电路结构及动作特点。 3. 了解不同功能触发器之间的相互转换。 重点:触发器的逻辑功能和动作特点。 难点:触发器的不同电路结构及各自的动作特点。 第一节 概 述 触发器:(Flip-Flop)能存储一位二进制信号的基本单元。用FF 表示。 特点: 1.具有两个能自行保持的稳定状态,用来表示逻辑状态的0和1,或二进制数的0和1。 2.根据不同的输入信号可以置成 1 或 0 状态。 根据电路结构不同分为:基本RS 触发器、同步RS 触发器、主从触发器、边沿触发器。 按逻辑功能分:RSFF 、DFF 、JKFF 、TFF 等。 3.根据存储数据的原理不同分为:静态触发器和动态触发器。 第二节 SR 锁存器 一、电路结构与工作原理 1.电路结构和工作原理: 触发器的1状态:0,1='=Q Q 触发器的0状态:1,0='=Q Q ① 当R'D =0, S' D =1时,无论触发器原来处于什么状态,其次态一定为0,即Q =0,Q' =1,称触发器处于置0(复位)状态。 ② 当R'D =1,S'D =0时,无论触发器原来处于什么状态,其次态一定为1,即Q =1,Q'=0, S R 图形符号 Q Q ' D 'S D 'R 置位端 或置1 复位端 或 Q Q ' D 'S D 'R 电路结构

称触发器处于置1(置位)状态。 ③ 当R'D =1,S'D =1时,触发器状态不变,即Q *=Q ,称触发器处于保持(记忆)状态。 ④ 当R'D =0,S'D =0时,两个与非门输出均为1(高电平),此时破坏了触发器的互补输出关系,而且当R'D 、S'D 同时从0变化为1时,由于门的延迟时间不一致,使触发器的次态不确定,即Q *=?,这种情况是不允许的。因此规定输入信号R'D 、S'D 不能同时为0,它们应遵循R'D + S'D =1的约束条件。 从以上分析可见,基本RS 触发器具有置0、置1和保持的逻辑功能,通常称S'D 为置1端或置位(SET)端,R'D 称为置0或复位(RESET)端,因此该触发器又称为置位—复位(SetReset)触发器或R D S D 触发器,其逻辑符号如上图所示。因为它是以R'D 和S'D 为低电平时被清0和置1的,所以称R'D 、S'D 低电平有效,且在图中输入端加有小圆圈。 2.逻辑功能的描述 ①特性表 用与非门构成的基本RSFF 也可用右表描述。 只需将表中的R'D 和S'D 看作是该触发器输入信号 ②特性方程: ③状态转换图:(简称状态图) *='+=D D D D R S Q R S Q R = 0 R = ×S =0S =× R =0 R = 1S = 0 置1 置0 不允许 保持

第6章-时序逻辑电路.

6 时序逻辑电路 6.1.1 已知一时序电路的状态表如表题6.1.1所示,A为输入信号,试作出相应的状态图。 解:由状态图的概念及已知的状态表,可画出对应的状态图,如图题解6.1.1所示。 6.1.2已知状态表如表题6.1.2所示,输入为X1X0,试作出相应的状态图。 解:根据表题6.1.2所示的状态表,作出对应的状态图如图题解6.1.2所示。

6.1.3已知状态图如图题6.1.3所示,试列出它的状态表。 解:按图题6.1.3列出的状态表如表题解6.1.3所示。 6.1.5 图题6.1.5所示是某时序电路的状态图,设电路的初始状态为01,当序列A=100110(自左至右输入)时,求该 电路输出Z的序列。 解:由图题6.1.5所示的状态图可知,当初态为01,输入信号的序列A=100110时,该时序 电路将按图题解6.1.5所示的顺序改变状态,因而对应的输出序列为Z=011010。

6.1.6已知某时序电路的状态表如表题6.1.6所示,输入A,试画出它的状态图。如果电路的初始状态在b,输入信号A一次是0、1、0、1、1、1、1,试求出其相应的输出。 解:根据表题6.1.6所示的状态表,可直接画出与其对应的状态图,如图题解6.1.6(a)当从初态b开始,依次输入0、1、0、1、1、1、1信号时,该时序电路将按图题解6.1.6(b)所示的顺序改变状态,因而其对应的输出为1、0、1、0、1、0、1。 6.2 同步时序逻辑电路的分析 6.2.1 试分析图题6.2.1(a)所示时序电路,画出其状态表和状态图。设电路的初始状态为0,试画出6.2.1(b)所示波形作用下,Q和Z的波形图。

数字电路实验八同步时序电路逻辑的设计

实验报告 课程名称:数字电路实验第8 次实验实验名称:同步时序电路逻辑设计 实验时间:2012 年 5 月29 日 实验地点:组号 学号: 姓名: 指导教师:评定成绩:

《数字电路与系统设计》实验指导书 1 一、实验目的: 1.掌握同步时序电路逻辑设计过程。 2.掌握实验测试所设计电路的逻辑功能。 3.学习EDA软件的使用。 二、实验仪器: 三、实验原理: 同步时序电路逻辑设计过程方框图如图8-1所示。

《数字电路与系统设计》实验指导书 2 图8-1 其主要步骤有: 1.确定状态转移图或状态转移表 根据设计要求写出状态说明,列出状态转移图或状态转移表,这是整个逻辑设计中最困难的一步,设计者必须对所需要解决的问题有较深入的理解,并且掌握一定的设计经验和技巧,才能描绘出一个完整的、较简单的状态转移图或状态转移表。 2.状态化简 将原始状态转移图或原始状态转移表中的多余状态消去,以得到最简状态转移图或状态转移表,这样所需的元器件也最少。 3.状态分配 这是用二进制码对状态进行编码的过程,状态数确定以后,电路的记忆元件数目也确定了,但是状态分配方式不同也会影响电路的复杂程度。状态分配是否合理需经过实践检验,因此往往需要用不同的编码进行尝试,以确定最合理的方案。 4.选择触发器 通常可以根据实验室所提供的触发器类型,选定一种触发器来进行设计,因为同步时序电路触发器状态更新与时钟脉冲同步,所以在设计时应尽量采用同一类型的触发器。选定触发器后,则可根据状态转移真值表和触发器的真值表作出触发器的控制输入函数的卡诺图,然后求得各触发器的控制输入方程和电路的输出方程。 5.排除孤立状态 理论上完成电路的设计后,还需检查电路有否未指定状态,若有未指定状态,则必须检查未指定状态是否有孤立状态,即无循环状态,如果未指定状态中有孤立状态存在,应采取措施排除,以保证电路具有自启动性能。 经过上述设计过程,画出电路图,最后还必须用实验方法对电路的逻辑功能进行验证,如有问题,再作必要的修改。时序电路的功能测试可以用静态和动态两种方法进行,静态测试由逻辑开关或数据开关提供输入信号,测试各级输出状态随输入信号变化的情况,可用指示灯观察,用状态转移真值表或功能表来描述。动态测试是在方波信号的作用下,确定各输出端输出信号与输入信号之间的时序图,可用示波器观察波形。 在实际的逻辑电路设计中,以上的设计过程往往不能一次性通过,要反复经过许多次仿真和调试,才能符合设计要求,既费时费力,又提高了产品的成本,而且,随着电路的复杂化,受工作场所及仪器设备等因素的限制,许多试验不能进行。为了解决这些问题,很多国内外的电子设计公司于20世纪80年代末、90年代初,推出了专门用于电子线路仿真和设计

单元15-时序逻辑电路

第十六单元时序逻辑电路 (8学时——第49~56学时) 主要容:时序逻辑电路的分析与设计 教学重点:时序逻辑电路的分析与设计方法 教学难点:时序逻辑电路的设计 教学方法:启发式教学、探究式教学 教学手段:实验、理论、实际应用相结合 第一部分知识点 一、时序电路概述 时序电路的状态及输出是与时间顺序有关的,由组合电路和存储电路(多为触发器)组成,1、特点 任意时刻的输出,不仅与该时刻的输入有关、还与电路原来的状态有关。 2、分类 按逻辑功能分为计数器、寄存器等,按触发器工作分为同步电路和异步电路,按电路输出信号特性分为Mealy型(输出与输入及电路现态有关)和Moore型(输出仅与电路现态有关)电路。 二、时序电路的分析 1、分析步骤 (1)写出电路的时钟方程(各触发器的CP表达式)、输出方程(各输出端表达式)及驱动方程(各触发器的触发信号表达式)。 (2)求出电路的状态方程(各触发器的状态表达式) (3)计算得出电路工作状态表 (4)画状态图及时序图 (5)分析电路功能 2、分析举例 分析时序电路

(1)时钟方程CP0=CP1=CP2=CP 输出方程n n n Q Q Q Y 1 2 = 驱动方程n Q J 2 =、n Q K 2 =,n Q J 1 =、n Q K 1 =,n Q J 1 2 =、n Q K 1 2 =(2)状态方程 将J、K代入JK触发器特征方程n n n Q K Q J Q+ = +1得各触发器状态方程: n n Q Q 2 1 = +、n n Q Q 1 1 = +、n n Q Q 1 1 2 = + (3)计算得到状态表 现态次态输出 n Q 2 n Q 1 n Q 1 2 | n Q+1 1 + n Q1 + n Q Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 0 0 0 0 1 0 1 0 0 1 1 0 1 1 1 1 1 1 1 (4)画状态图及时序图 (5)逻辑功能 这是一个有六个工作状态的同步工作电路,属Moore型电路。 (6)有效态和无效态

第5章 时序逻辑电路思考题与习题题解

思考题与习题题解 5-1填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√)(4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 A.10μS B.80μS C.100μS D.800ms (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 A.6 B.7 C.8 D.10 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。 A.10 B.15 C.32 D.32768 (7)一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10

Moore型同步时序逻辑电路的设计与分析

实验九Moore型同步时序逻辑电路的分析与设计 22920132203686 薛清文周2下午实验 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.D,JK触发器的特性机器检测方法。 2.掌握时序逻辑电路的测试方法。 3.了解时序电路自启动设计方法。 4.了解同步时序电路状态编码对电路优化作用。 二.实验原理: 二、 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

第5章--时序逻辑电路习题解答

5-1 分析图5.77所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图5.77 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图5.78所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图5.78 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图5.79(a)所示,其输入波形如图5.79 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图5.79 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

最新数字电路第六章时序逻辑电路练习题CAO

第六章时序逻辑电路复习练习题 一、填空题: 1.构造一个模6计数器需要个状态,个触发器。构成一个1位十进制同步加法计数器至少需要()个JK触发器,一个1位5进制同步加法计数器至少需要()个JK触发器。 2.若要构成七进制计数器,最少用_________个触发器,它有______个无效状态。 3.构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 4. 一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 5. 要组成模15计数器,至少需要采用 4 个触发器。 6.按计数器中各触发器翻转时间可分为_同步计数器_,异步计数器_。 7. 74LS161是_a_(a.同步b.异步)二进制计数器。它具有_清除_,_置数__,_保持_和计数等四种功能。 8. 74LS290是__b__(a.同步b.异步)非二进制计数器。 9.在计数过程中,利用反馈提供置数信号,使计数器将指定数置入,并由此状态继续计数,可构成N进制计数器,该方法有_同步_置数和_异步置数两种。 10.将模为M和N的两片计数器a_(a.串接b.并接),可扩展成__M*N__进制的计数器。 二、选择题: 1、一个计数器的状态变化为:000 001 010 011 100 000,则该计数器是( 2 )进制(3 )法计数器。 (1)4 (2)5 (3)加(4)减 2、用n个触发器构成计数器,可得到的最大计数长度为( A ) A. 2n B.2n C.2n D.n 3、一块7490十进制计数器中,它含有的触发器个数是( A ) A. 4 B. 2 C. 1 D. 6 4.一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10 5、利用中规模集成计数器构成任意进制计数器的方法有( ABC ) A.复位法 B.预置数法 C.级联复位法 三.判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√) (4)计数器的模是指构成计数器的触发器的个数。(×)1、二进制加法计数器从0计数到十进制24时,需要5个触发器构成,有7个

时序逻辑电路分析举例

时序逻辑电路分析例题 1、分析下图时序逻辑电路。 解: 1、列出驱动方程:111==K J 1//122Q A AQ K J +== 2、列出状态方程: 将驱动方程代入JK 触发器的特性方程Q K JQ Q //*+=得: /1*1Q Q = 212/1//21//2/1*2Q AQ Q Q A Q Q A Q AQ Q +++= 3、列出输出方程: 21//2/1Q Q A Q AQ Y += 4、列出状态转换表: (1)当A=1时: 根据:/1*1Q Q =;21/2/1*2Q Q Q Q Q +=;/2/1Q Q Y =得: (2)当A=0时:

根据:/1*1Q Q =;2/1/21*2 Q Q Q Q Q +=;21Q Q Y =得 : 5、画状态转换图: 6、说明电路实现的逻辑功能: 此电路就是一个可逆4进制(二位二进制)计数器,CLK 就是计数脉冲输入端,A 就是加减控制端,Y 就是进位与借位输出端。当控制输入端A 为低电平0时,对输入的脉冲进行加法计数,计满4个脉冲,Y 输出端输出一个高电平进位信号。当控制输入端A 为高电平1时,对输入的脉冲进行减法计数,计满4个脉冲,Y 输出端输出一个高电平借位信号。 2、如图所示时序逻辑电路,试写出驱动方程、状态方程,画出状态图,说明该电路的功能。 解:驱动方程 ?? ?=⊕=1010K Q X J n ???=⊕=11 1K Q X J n 状态方程 ()()n n n n n n n n n n n n n n Q XQ Q Q X Q Q X Q Q Q X Q Q X Q Q X Q 0 1 1 1 1 010110 11+=⊕=+=⊕=++ 1J 1K C1 1J 1K C1 1 Q 0 Q CP X Z =1 =1 =1 & FF 1 FF 0 1 1

时序逻辑电路分析举例

时序逻辑电路分析例题 1、 分析下图时序逻辑电路。 解: 1、列出驱动方程:111==K J 1//122Q A AQ K J +== 2、列出状态方程: 将驱动方程代入JK 触发器的特性方程Q K JQ Q //*+=得: /1*1Q Q = 212/1//21//2/1*2Q AQ Q Q A Q Q A Q AQ Q +++= 3、列出输出方程: 21//2/1Q Q A Q AQ Y += 4、列出状态转换表: (1)当A=1时: 根据:/1*1Q Q =;21/2/1*2Q Q Q Q Q +=;/ 2/1Q Q Y =得:

(2)当A=0时: 根据:/1*1Q Q =;2/1/21*2 Q Q Q Q Q +=;21Q Q Y =得: 5、画状态转换图: 6、说明电路实现的逻辑功能: 此电路是一个可逆4进制(二位二进制)计数器,CLK 是计数脉冲输入端,A 是加减控制端,Y 是进位和借位输出端。当控制输入端A 为低电平0时,对输入的脉冲进行加法计数,计满4个脉冲,Y 输出端输出一个高电平进位信号。当控制输入端A 为高电平1时,对输入的脉冲进行减法计数,计满4个脉冲,Y 输出端输出一个高电平借位信号。 2、如图所示时序逻辑电路,试写出驱动方程、状态方程,画出状态图,说明该电路的功能。

()()n n n n n n n n n n n n n n Q XQ Q Q X Q Q X Q Q Q X Q Q X Q Q X Q 0 1 1 1 1 010110 11+=⊕=+=⊕=++ 输出方程 ()01Q Q X Z ⊕= 1、 状态转换表,如表所示。状态转换图,略。 CP X Z

时序电路设计举例

1.智能机器人能够识别并绕开障碍物,在充斥着障碍物的环境里自由行走。它的前端有一个接触传感器,当遇到障碍物时传感信号X=1,否则传感信号X=0。 它有两个控制信号Z1和Z0控制脚轮行走,Z1=1时控制机器人左转,Z0=1时控制机器人右转,Z1Z0=00时控制机器人直行。机器人遇到障碍物时的转向规则是:若上一次是左转,则这一次右转,直到未探测到障碍物时直行;若上一次是右转, 则这一次左转,直到未探测到障碍物时直行。试用D 触发器设计一个机器人控制器, 控制机器人的行走方式。 2.用JK 要求电路能够自启动。 3.设计一个序列检测器,(或三个以上)1时,序列检测器输出为1,否则输出0. 4.用D 触发器设计一个三位串行奇偶校验电路,当电路串行接收了三位二进制数,如果1的个数是偶数,在收到第三位数时,电路输出为1;其余情况下均为0。每三位二进制数为一组,在收到第三位数码后,电路返回初始状态,准备接收下一组数 5.用JK 触发器和门电路设计一个四位二进制数串行加法器,以实现最低位在前的两个串行二进制整数相加,输出为最低位在前的两数之和,其进位将寄存在串行加法器中,以便在下个cp 脉冲到来时与高一位的被加数及加数相加。 6.用隐含表化简法化简表1所示的原始状态表。并设计电路。 表1 7.对表2所示的最简状态表,提出一种合适的的状态分配方案, 列出其编码状态表,并设计电路。

表2 8.求出下表所示的激励函数和输出函数表达式,并画出电路。分别用D触发器J-K 9. “1111”序列检测器。当连续输入四个或四个以上的1时,电路输出为1;其它情况下电路输出为0。设计电路。 10.某序列检测器有一个输入X和一个输出Z,当收到的输入序列为“101”或“0110”时,在上述序列的最后一位到来时,输出Z=1,其它情况下Z=0,允许输入序列码重叠。试列出其原始状态表,并设计电路。 11.用d触发器设计模8计数器 12.用d触发器设计模10计数器,要求能自启动。

第5章时序逻辑电路思考题与习题题解

思考题与习题题解 5-1 填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√) (4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 μS μS μS (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。

时序电路设计

时序电路设计中的ABEL-HDL语言 ABLE-HDL语言是一种硬件描述语言,其可以很好地描述数字逻辑的功能,前面已经介绍了组合逻辑电路的设计,从中我们可以看出用语言来描述电路是简单、直观、简练的,但由于时序电路有别于组合逻辑电路,其电路功能更加复杂,这就决定了时序电路的描述比组合逻辑电路具有更多的语句加以说明,下面列出了有关时序电路的点扩展及常用的关键字: 点扩展功能举例 .FB 寄存器反馈信号Q:=Q.FB+1 .D D触发器输入Q1.D=A&B .J,.K JK触发器的J,K输入Q2.J=A;Q2.K=B; .AR 异步清零Q.AR=CR .CLR 同步清零Q.CLR=CR .CLK 时钟输入Q.CLK=CLK 关键字含义举例 IF THEN ELSE 假如…则…否则… IF EN==1 THEN Q:=Q.FB+1 ESLE Q:=0 WHEN THEN ELSE 当…则…否则… when ctr then q:=q.fb+1 esle q:=q.fb-1 CASE 选择性语句case a=1:2;A=2:3;A=3:4 GOTO 转移语句goto 2 EQUATIONS 逻辑表达式逻辑表达式的开始 TRUTH_TABEL 真值表真值表的开始 STATE_DIAGRAM 状态图状态图的开始 TEST_VECTORS 测试向量测试向量的开始 @REPEAT 重复指示字@REPEAT 5;重复进行5次 简单说明: 1.这里的关键字仅是前面组合逻辑电路部分的补充,并不是ABEL-HDL语言的全部; 2.点掮主要用于时序电路,在前面GAL、PAL的内部电路可以看出其内部没有JK触发器的形式,而在时序电路广泛使用到JK触发器,其是通过D触发器的变型得到。 时序电路的语言描述 时序电路与组合逻辑电路一样,其也可以由原理图来表示,这里主要着重讲一下语言描述方面的内部,原理图的设计与组合逻辑电路一样,仅设计时注意在使用GAL电路时,只能设计为同步时序电路,并且仅有一个外部的时钟输入端。 ◆逻辑方程式 时序电路的逻辑方程式与组合逻辑电路相似,但在使用赋值语句是有所区别,即寄存器的输出赋值时其变量后面须加上“:”,如D触发器的特征方程表示为: Q:=D 并且只有寄存器的输出赋值时才使用,而其它变量赋值与组合逻辑一致。下面是一个10进

相关文档
最新文档