波形发生器的电路设计

波形发生器的电路设计
波形发生器的电路设计

摘要

根据现代电子系统对信号源的频率稳定度、准确度及分辨率越来越高的要求,也是为了能过方便的产生波形平滑、频率稳定的任意波形,本文提供了一种任意波形发生器的设计方案。从而结合直接数字式频率合成器(DDS)的优点,利用FPGA芯片的可编程性和实现方案易改动的特点,提出一种基于FPGA和DDS技术的任意波形发生器设计方案。采用VHDL(运用自顶向下设计思想设计多功能数字波形发生器的问题)和原理图输入方式,在Quartus II平台下实现该设计的综合、仿真。通过实验可以看出,采用该方法设计的任意波形发生器输出的波形与传统的波形发生器相比,具有波形平滑、无毛刺、波形稳定度高、频率稳定度和分辨率高等众多优点。而且该波形发生器电路简单,程控方便,产生的波形具有相噪好、频率步进低、输出电平分辨率小和相位可调等优点。

关键词

波形发生器;现场可编程门阵列;直接数字频率合成

Abstrat

According to modern electronic systems for signal source frequency stability, accuracy and resolution of increasingly high demands, also have a wave in order to facilitate smooth any waveform, frequency stability, this article provides you with an arbitrary waveform generator design. Combination of direct digital frequency synthesizer (DDS) the advantages of using programmable FPGA chip and solution features easy changes, proposed a design based on FPGA and arbitrary waveform generator based on DDS technology programmer. VHDL (using top-down design problems of the design of multifunction digital waveform generator) and schematic capture, Quartus II implements the integrated design, simulation platform. Through experiments, we can see, using the method output waveforms of arbitrary waveform generator and the design of tradition than waveform generator, smooth, glitch-free, with waveform wave high stability, and high frequency stability and resolution of many benefits. And the waveform generator circuit is simple, easy to program, the resulting wave with phase noise, low step frequency, output level resolution and phase adjustment and other benefits.

Keywords

waveform generator; field programmable gate arrays; direct digital frequency synthesis

目录

摘要........................................................................................................................................ I Abstract .................................................................................................................................... II 第一章绪论.. (1)

1.1 研究背景 (1)

1.2目的意义 (1)

1.3国内外研究现状 (2)

1.3.1外研究现状 ........................................................................... 错误!未定义书签。

1.3.2内研究现状 ........................................................................... 错误!未定义书签。

1.4国内外研究发展趋势................................................................... 错误!未定义书签。

1.5 论文内容及安排 (2)

第二章数字密码锁的基本原理 (2)

2.1 设计原理 (2)

2.1.1 密码锁设计总体框架 .......................................................... 错误!未定义书签。

2.1.2密码总量的确定 ..................................................................... 错误!未定义书签。

2.1.3密码制式的选择 ..................................................................... 错误!未定义书签。

2.2 密码锁的结构体........................................................................... 错误!未定义书签。

2.2.1 分频模块 .............................................................................. 错误!未定义书签。

2.2.2盘模块 ................................................................................... 错误!未定义书签。

2.2.3 信号控制处理模块 ................................................................ 错误!未定义书签。

2.2.4 显示模块 .............................................................................. 错误!未定义书签。

2.3 本章小结:................................................................................... 错误!未定义书签。第三章基于FPGA的设计及VHDL语言介绍 (3)

3.1 FPGA开发简介及发展 (3)

3.1.1 FPGA设计方法及流程 (3)

3.1.2 发展趋势 (4)

3.1.3发展特点 (4)

3.2 硬件描述语言简介 (5)

3.3本章小结 (6)

第四章QUARTUSⅡ系统环境下FPGA数字密码锁的仿真及分析 (8)

4.1 QUARTUSⅡ简介 (8)

4.2 程序仿真及分析 (8)

4.3 本章小结..................................................................................... 错误!未定义书签。结论. (9)

参考文献 (10)

附录一 (10)

致谢 (10)

第一章绪论

1.1 研究背景

FPGA(Field Programmable Gate Array)即现场可编程门阵列,属于可编程逻辑器件的一种,是目前广泛采用的一种可编程器件,它的应用不仅使数字电路系统的设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积,而且其时钟频率已可达到几百兆赫兹,加上它的灵活性和高可贵性,非常实用与波形发生器的数字电路部分。

可编程逻辑器件(Programmable Logic Device, PLD)起源于20世纪70年代,是在专用集成电路的基础上发展起来的一种新型逻辑器件,是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级PLD时,不需额外地改变PCB电路板,只是计算机上修改和更新程序,是硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,因此获得了广大硬件工程师的青睐,形成了巨大的PLD 产业规模。

作为一种为电子测量和计量提供电信号的设备,它和万用表、示波器、频率计等仪器一样,是最普通、最基本,也是应用最广泛的电子仪器之一,几乎所有点参量的测量都需要用到信号发生器。从本质上看,测量时一个将客观物理量转换成测试信息量的变换过程[1]。

1.2目的意义

在电子技术领域,常常需要频率、波形、幅度都可调节的电信号,用于产生这种电信号的电子仪器称作信号发生器。随着现代电子技术的发展,在雷达、宇航、导航、通讯、电视广播、电子测量、电子对抗和遥控遥测等实用领域,人们对信号源的频率稳定度、频率纯度、范围和输出频率等提出了越来越精密的要求。而为了提高频率的稳定度,人们经常采用的是晶体振荡器等方法来解决,但是已不能满足众多应用场合的要求。伴随着电子测量技能与计算机技能的紧密结合,一种新的信号发生器—任意波形发生器应运而生,它可产生由用户定义的任意复杂的波形,因而具有广阔的运用发展前景。

1.3国内外研究现状

自从上世纪40年代惠普为美国海军实验室开发出第一台信号发生器开始,信号发生区一直随着电子技术、半导体技术和计算机技术的发展而发展,几乎成为这些技术发展的缩影。从技术上看,信号发生器经历了由模拟信号发生器、数字信号发生器到虚拟信号发生器的发展过程[2]。传统的波形发生器只能产生一些常规的信号如脉冲波、方波、正弦波、三角波等。随着科学的不断发展,传统的发生器在一些场合已经不能满足特定的要求了,在许多研究领域中,不但需要一些常规的信号,还需要一些不规则信号。如某些电子设备的性能指标测试、系统中各种瞬变波形和电子设备中出现的各种干扰的模拟研究,还比如说电镀电源对于镀层的影响等。

对于这项领域的研究,我国起步较晚,与国外先进技术相比还有很大差距,因此开发高性价比的任意波形发生器是迫在眉睫,对于我国电子行业有很大的意义,具有很广泛的应用前景,也可打破国外技术的垄断和封锁。

1.4 论文内容及安排

第二章波形发生器的基本原理

2.1 基本原理

由于是结合直接数字式频率合成器,首先先介绍几个概念:

1.频率稳定度:频率稳定度标识了工作频率的稳定程度。频率稳定度a频率稳定度发信机的每个波道都有一个标称的射频中心工作频率,用f0表示。工作频率的稳定度取决于发信本振源的频率稳定度。设实际工作频率与标称工作频率的最大偏差值为Δf,则频率稳定度的定义为频率稳定度式中为K为频率稳定度。(K=Δf/f0)

2.频率分辨率:频率分辨率是指将两个相邻谱峰分开的能力。在实际应用中是指分辨两个不同频率信号的最小间隔。

3.输出频率输出范围:输出频率范围是指频率合成器输出最低频率fomin和最高频率fomax之间的变化范围。fmax—fmin越大,频率合成器的输出频率范围越宽,有时也对相对带宽Δf来衡量其输出频率范围:

Δf=[fmax-fmin)/(fmax+fmin)/2]*100%

第三章基于FPGA的设计及VHDL语言介绍

3.1 FPGA开发简介及发展

3.1.1 FPGA设计方法及流程

FPGA是可编程芯片,因此FPGA的设计方法包括硬件设计和软件设计两部分。硬件包括FPGA芯片电路、存储器、输入/输出接口电路以及其他设备,软件即是相应的HDL程序以及最新才流行的嵌入式C程序。

目前微电子技术已将发展到SOC阶段,即集成系统(Integrated System)阶段,相对于集成电路(IC)的设计思想有着革命性的变化。SOC是一个复杂的系统,它将一个完整产品的功能集成在一个芯片上,包括核心处理器、存储单元、硬件加速电源以及众多的外部设备接口等,它具有设计周期长、实现成本高等特点,因此器设计方法必然是自顶向下的从系统级到功能模块的软、硬件协同设计,达到软、硬件的无缝结合。

自顶向下的设计流程从系统级设计开始,划分为若干个二级但愿,再把各个二级单元划为下一层次的基本,一直下去,知道能够使用基本模型或者IP核直接实现为止。流行的FPGA 开发工具都提供了层次化管理,可以有效地梳理复杂的层次,使得用户能够方便地查看某一层次模块的源代码,以便修改错误。

FPGA的设计流程就是利用EDA开发软件和编程工具对FPGA芯片记性开发的过程。FPGA的开发流程一般包括电路功能设计、设计输入、功能仿真、综合、综合后仿真、实现与布局布线、时序仿真与验证、板级仿真与验证以及芯片编程与调试等主要步骤。

3.1.2 发展趋势

可编程逻辑器件的发展可以划分为4个阶段,即从20世纪70年代初到70年代中为第一阶段,20世纪70年代中到80年代为第二阶段,20世纪80年代到90年代末为第三阶段,20世纪90年代末到目前为第四阶段。

第一阶段的可编程器件只有简单的可编程只读存储器(PROM)紫外线可擦除只读存储器(IPROM)和电可擦除存储器(EEPROM)3种。由于结构的限制,他们只能完成简单的数字逻辑功能。第二阶段出现了结构上稍微复杂的可编程阵列逻辑(PLA)和通用阵列逻辑(GAL)器件,正式被成为PLD,能够完成各种逻辑预算功能。典型的PLD由“与”、“非”阵列组成用“与或”表达式来实现任意组合逻辑,所以PLD能以乘积和形式完成大量的逻辑组合。第三阶段,Xilinx和Altera公司分别推出了与标准门阵列类似的FPGA以及类似于PLA结构的扩展性CPLD。它们提高了逻辑运算的速度,具有体系结构和逻辑单元灵活、集成度高以及使用范围宽等特点,兼容了PLD和通用门阵列的有点,能够实现超大规模的电路,编程方式也很灵活,成为产品原型设计和中小规模(一般小于10000)产品生产的首选。第四阶段出现了SOPC(System On Programmable Chip,编程的片上系统)和SOC(System On Chip,片上系统)技术。它们是PLD和ASIC技术融合的结果,涵盖了实时化数字信号处理技术、高速数据首发期间、复杂计算以及嵌入式系统设计技术的全部内容。Xilinx和Altera公司也推出了相应的SOCFPGA产品,制造工艺已达到65um,系统门数也超过百万门。

3.1.3发展特点

⑴大容量、低电压、低功耗FPGA。由于便携式应用产品的发展,对FPGA的低电压、低功耗、的要求日益迫切。因此,无论那个厂家、那种类型的产品,都在瞄准这个方向而努力。

⑵系统级高密度FPGA。随着生产规模的提高,产品应用成本的下降,FPGA的应用已经不是过去的仅仅使用于系统接口部件的现场集成,而是将它灵活地应用于系统级(包括其核心功能芯片)设计之中。

⑶FPGA和ASIC出现相互融合。虽然标准逻辑ASIC芯片尺寸小、功能强、低功耗,但其设计复杂,并且有批量要求。FPGA价格较低廉,能在现场进行编程,但它们体积大、能力有限,而且功耗比ASIC大。正因如此,FPGA和ASIC正在互相融合,

取长补短。

⑷动态可重构FPGA。动态可重构FPGA是指在一定条件下芯片不仅具有在系统重新配置电路功能的特性,而且还具有在系统动态重构电路逻辑的能力。

3.2硬件描述语言简介

⑴可变成逻辑器件内部包含可编程的“与—或”门阵列或者查找表结构以及可编程的触发器,这些资源来提供了实现包括组合逻辑电路和时序逻辑电路的硬件基础。如果试图通过手工对每个逻辑门的输入和触发器的工作进行状态进行“编程”,可以想象那是多么的麻烦。在实际电路的组装方面,这样与基于标准逻辑器件设计数字系统相比并没有实质性的改变。

电子设计自动化(Electronic Design Automatic, EDA)技术应用计算机克服了上述困难为可编程逻辑器件提供了一种简洁且方便的方法。电子设计自动化技术,使得设计者的工作仅限于利用软件的方式来实现系统的硬件功能。在电子设计自动化的工具平台上,设计者可以使用硬件描述语言(Hardware Description Language, HDL)描绘出硬件的结构和行为;接着完成设计文件的逻辑编译、罗技综合、逻辑优化以及仿真测试;最后把完成的设计下载到可编程逻辑器件中。可编程逻辑器件被编程以后,这个可编程逻辑器件便有了相应的功能。

⑵美国国防部在20世纪70年代末和80年代初提出了VHSIC(Very High Speed Integrated Circuit)计划,VHSIC计划的目标是为下一代集成电路的生产、实现阶段性的工艺极限以及完成10万门级以上的设计,建立一项新的描述方法。1981年,美国国防部提出了一种新的硬件描述语言,称为“超高速集成电路硬件描述语言”(VHSIC Hardware Description Language),简称VHDL。当这个语言被首次开发出来时,其目标只是一个使电路文本化的一种标准,主要是为了使用采用了文本描述的设计能够为其他人锁理解,同时也用作模型语言,能采用软件进行模拟。VHDL的结构和设计方法收到了Ada语言的影响,并吸收了其他硬件描述语言的优点。1986年,IEEE致力于VHDL 的标准化工作,为此成立了VHDL标准化小组,经过了多次的修改与扩充,知道1987年12月VHDL才被接纳为IEEE 1076标准。1988年,Milstd454规定所有为美国国防部设计的ASIC产品必须采用VHDL来进行描述。1993年,IEEE 1076标准被修订,更新为新的VHDL标准IEEE 1164。1996年,IEEE1076.3成为VHDL综合标准。

⑶今天,VHDL已经成为一个数字电路和系统的描述、建模、综合的工业国际标准,

因此在电子产业界获得了广泛的应用。VHDL能够成为标准并获得广泛应用,必然具有与其他硬件描述语言不同的地方,这恰恰是VHDL的优越性所在。下面我们对VHDL 的特点惊醒介绍,首先来看看VHDL的有点:①功能强大、设计灵活。VHDL具有功能强大的语言结构,可以用简洁明确的程序来描述复杂的逻辑功能。为了有效控制设计的实现,它还具有多层次的设计描述功能,支持设计库和可重复使用的元件生成;它支持阶层设计,层层细化,最后可直接生成电路级描述;VHDL还支持同步电路、异步电路和随即电路的设计。VHDL的设计非常灵活,这主要源于VHDL支持各种设计方法。

②强大的系统硬件描述能力。VHDL具有多层次描述系统硬件功能能力,可以从系统的数学模型知道门级电路。另外,高层次的行为描述可以与低层次的寄存器传输描述和结构描述混合使用。VHDL能进行系统级的硬件描述,这是它最突出的优点。③移植能力强。由于电子设计自动化技术的普及和推广,不同的EDA厂商纷纷退出自己的EDA 开发工具。各个EDA开发工具的不同导致了模拟工具、开发工具和操作平台的不同,这样就会对一些硬件描述语言的使用产生了限制。而这对VHDL的使用没有丝毫的影响,现在几乎所有的EDA开发工具都支持VHDL,这也正是VHDL广泛使用的重要原因。④VHDL语法规范、标准,易于共享与复用。VHDL语法规范、标准,可读性强。用VHDL书写的源文件既是程序,又是文档;既是技术工程人员进行设计成果交流的文件,也可作为合同签约者之间的合同文本。⑤支持广泛、易于修改。由于⑥与工艺无关⑦易于ASIC移植⑧上市时间短、成本低。

⑷VHDL作为IEEE的工业标准具有许多其他硬件描述语言所不具有的优点以外,它也存在一些缺点:①不具有描述模拟电路的能力。虽然研究结果证明VHDL可以扩展到电路级上,但在电路级上VHDL并不是一种理想的语言。目前IEEE的1076.1小组正在设计一种心的语言,这种语言能够描述模拟电路和数模混合电路。这个新雨燕将以VHDL为基础,并在此基础上增加描述模拟电路的扩展内容。②综合工具生成的逻辑实现有时不最佳。技术设计人员采用综合工具锁生成的逻辑实现有时候并不能让人满意,因为优化的结果往往依赖于设计的目标。③EDA工具的不同导致中和质量的不同。不同的EDA工具对同一VHDL描述进行综合,往往产生不同的综合质量,这是因为不同的EDA工具采用不同的算法所致。

3.3本章小结

本章简单介绍了FPGA的设计方法、流程、发展趋势和特点。并介绍了EDA工具和

VHDL的创立、发展历史,了解了VHDL语言的优点、缺点以及发展。

第四章QUARTUSⅡ系统环境下FPGA波形发生器的

仿真及分析

4.1 QUARTUSⅡ简介

本设计是用ALTERA公司提供的QUARTUSⅡ可编程器件的集成开发软件。该软件是一种优秀的电子设计自动化平台,它提供了从设计输入、设计综合、仿真测试以及可编程逻辑器件的编程/配置等开发环节的全过程支持。

QUARTUSⅡ是ALTERA公司提供的可编程逻辑器件的集成开发软件,是该公司前一代可编程逻辑器件开发的整个过程,它提供一种与器件结构无关的设计环境,使设计者方便地进行设计输入、设计处理和器件编程。

QUARTUSⅡ集成开发软件适合多种平台的工作环境,其中包括PC的Microsoft Windows XP。它支持更多种类的可编程逻辑器件的开发,同时也提供在片可编程系统(System on a Programmable Chip , SOPC)设计的综合性环境和基本设计工具。另外,QUARTUSⅡ集成开发软件也可以利用第三方软件的结果,并支持第三方软件的工作。

QUARTUSⅡ集成开发软件的核心是模块化的编译器。编译器包括的功能模块有分析与综合器(Analysis & Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)、以及EDA网表文件生成器(EDA Netlist Writer)等。可编程逻辑器件开发的所有过程为:涉及输入、综合、布局和布线、验证和仿真以及可编程逻辑器件的编程或配置。

QUARTUSⅡ集成开发软件允许用户在开发过程中,使用QUARTUSⅡ图形用户界面、EDA工具界面和命令行界面。用户可以再整个开发过程中使用这些界面中的任意一个,也可以在开发过程中的不同步骤使用不同的界面。

4.2 程序仿真及分析

结论

参考文献

附录一

程序清单:

致谢

在本论文的写作过程中,我的导师邱成军老师倾注了大量的心血,从选题到开题报告,从写作提纲,到一遍又一遍地指出每稿中的具体问题,严格把关,循循善诱,在此我表示衷心感谢。当然,同时我还要感谢在我学习期间给我极大关心和支持的各位老师以及关心我的同学和朋友,难忘那些一起学习的日子,这些都让我得到了进步。程序经过不断调试,最终得以实现。

写作毕业论文是一次再系统学习的过程,毕业论文的完成,同样也意味着新的学习生活的开始。最后,再一次感谢我的老师和帮助我的同学们。

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

模电课程设计-波形发生器

一、设计题目 波形发生电路 二、设计任务和要求 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。 指标:输出频率分别为:102H Z、103H Z和104Hz;输出电压峰峰值V PP≥20V 三、原理电路设计: (1)方案的提出 方案一: ①先由文氏桥振荡产生一个正弦波信号(右图) ②把文氏桥产生的正弦波通过一个过零比较器 从而把正弦波转换成方波。 ③把方波信号通过一个积分器。转换成三角波。 方案二: ①由比较器和积分器构成方波三角波产生电路。(下图) ②然后通过低通滤波把三角波转换成正弦波信号。 方案三: ①由比较器和积分器构成方波三角波产生电路。(电路图与方案二相同) ②用折线法把三角波转换成正弦波。(下图)

(2)方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,C1=C2。即f=f 如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的风波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。 通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化范围很小的情况下使用。然而,指标要求输出频率分别为102H Z、103H Z和104Hz。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波三角波发生器原理如同方案二。 比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大;即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 (3)单元电路设计

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

基于labVIEW的任意波形发生器设计余洪伟详解

沈阳航空航天大学 课程设计 (论文) 题目基于labVIEW的任意波形发生器设计 班级 34070102 学号 2013040701060 学生姓名余洪伟 指导教师于明月

沈阳航空航天大学 课程设计任务书 课程名称虚拟仪器课程设计 院(系)自动化学院专业测控技术与仪器 班级34070102 学号2013040701060 姓名余洪伟 课程设计题目基于LabVIEW的任意波形发生器设计 课程设计时间: 2016 年7 月4 日至2016 年7 月15 日课程设计的内容及要求: 1. 内容 任意波形发生器是仿真实验的最佳仪器,任意波形发生器是信号源的一种,它具有信号源所有的特点。基于此,利用LabVIEW 设计一个任意波形发生器。 2. 要求 (1)可以产生三种以上波形(如正弦、锯齿、方波、三角波等),波形的幅值及频率可以调节; (2)可以实现不同波形的转换并显示; (3)可以实现波形数据的存储及回放; (4)虚拟仪器前面板的设计美观大方、操作方便。 指导教师年月日 负责教师年月日 学生签字年月日

目录 0. 前言 (1) 1. 总体方案设计 (1) 2.程序流程图 (2) 3. 程序框图设计 (3) 3.1波形的产生及参数的设计 (3) 3.1.1 正弦波 (3) 3.1.2方波 (4) 3.1.3锯齿波 (4) 3.1.4三角波 (5) 3.1.5公式波形 (6) 3.2波行转换设计 (6) 3.3噪声波形实现 (7) 3.4波形的存储与回放 (8) 4. 前面板的设计 (9) 5.调试过程与结果显示 (10) 5.1波形的调试 (10) 5.1.1 正弦波的工作过程及波形验证 (10) 5.1.2 方波的工作过程及波形验证 (11) 5.1.3 三角波的工作过程及波形验证 (12) 5.1.4 锯齿波的工作过程及波形验证 (12) 5.1.5 公式波形的工作过程及波形验证 (13) 5.2 波形的存储与回放 (14)

运放组成的波形发生器电路设计

运放组成的波形发生器电 路设计 This model paper was revised by the Standardization Office on December 10, 2020

运放组成的波形发生器电路设计、装配与调试 1. 运放组成的波形发生器的单元电路 运放的二个应用:⑴ 线性应用-RC 正弦波振荡器 ⑵ 非线性应用-滞回比较器 ⑴ RC 正弦波振荡器 RC 桥式振荡电路如图3-9所示。 图3-9 RC 桥式振荡电路 RC 桥式振荡电路由二部分组成: ① 同相放大器,如图3-9(a )所示。 ② RC 串并联网络,如图3-9(b )所示。 或图3-9(c )所示,RC 串并联网络与同相放大器反馈支路组成桥式电路。 同相放大器的输出电压uo 作为RC 串并联网络的输入电压,而将RC 串并联网络的输出电压作为放大器的输入电压,当f=f 0时, RC 串并联网络的相位移为零,放大器是同相放大器,电路的总相位移是零,满足相位平衡条件,而对于其他频率的信号,RC 串并联网络的相位移不为零,不满足相位平衡条件。由于RC 串并联网络在 f=f 0 时的传输系数F =1/3,因此要求放大器的总电压增益Au 应大于3,这对于集成运放组成的同相放大器来说是很容易满足的。由R 1、R f 、V 1、V 2及R 2构成负反馈支路,它与集成运放形成了同相输入比例运算放大器。 只要适当选择R f 与R 1的比值, 就能实现Au>3的要求。其中,V1、V2和R 2是实现自动稳幅的限幅电路。 1 1R R A f u + =RC f π210=

① 振荡原理 RC 桥式振荡电路如图3-9所示。根据自激振荡的条件,φ=φa+Φf=2πn ,其中RC 串并联网络作为反馈电路,当f=fo 时,φf=0°,所以放大器的相移应为φa=0°,即可用一个同相输入的运算放大器组成。又因为当f=fo 时,F=1/3,所以放大电路的放大倍数A ≥3。起振时A>3,起振后若只依靠晶体管的非线性来稳幅,波形顶部容易失真。为了改善输出波形,通常引入负反馈电路。其振荡频率由RC 串并联网络决定,图3-9(c )为RC 桥式振荡电路的桥式画法。RC 串并联网络及负反馈电路中的Rf+'2 R 、R1正好构成电桥四臂,这就是桥式振荡器名称的由来。在RC 串并联网络中, 取C C C R R R ====2121, 当虚部为零,即)/(11221C R C R ωω=时,3/1=F ② 稳幅原理 V 1、V 2和R 2是实现自动稳幅的限幅电路。V 1、V 2仅一只导通,导通的二极管和R 2并联等 效电阻为'2R 。根据同相放大器的放大倍数计算公式:1 ' 2 1R R R A f ++=可知输出电压幅度与 '2 R 有关。 )1()1(1 11111// 1 2 121211222211 222 2122 22 2221 11C R C R j R R C C C R j R C j R C R j R Z Z Z U U F C R j R C j R Z C j R Z o f ωωωωωωωω-+++ =++ ++= +==+= =+=?? ?

模电课程设计(波形发生器)

课程设计 课程名称模拟电子技术基础课程设计题目名称波形发生电路_ 学生学院物理与光电工程学院 专业班级电子科学与技术(5)班 学号 学生姓名 指导教师 2013-12-10

一、题目: 波形发生电路 二、设计任务与技术指标 要求:设计并制作用分立元件和集成运算放大器组成的能产生正弦波、方波和三 角波的波形发生器。 基本指标: 1、输出的各种波形基本不失真; 2、频率范围为50H Z ~20KH Z ,连续可调; 3、方波和正弦波的电压峰峰值V PP >10V ,三角波的V PP >20V 。 三、电路设计及其原理 1) 方案的提出 方案一 ①用RC 桥式振荡器产生正弦波。 ②正弦波经过一个过零比较器产生方波。 ③方波通过积分运算产生三角波。 方案二 ①由滞回比较器和积分运算构成方波和三角波发生电路。(如图1所示) ②再由低通滤波把三角波转成正弦波。 方案三 ①由滞回比较器和积分运算构成方波和三角波发生电路。(同方案二) ②利用折线法把三角波转换成正弦波。(如图2所示) 图1 图3 图2

2)方案的比较 方案一中以RC串并联网络为选频网络和正反馈网络、并引入电压串联负反馈,从而产生正弦波。为了稳定正弦波幅值,一般要在反馈电阻一边串联一对反向的并联二极管,但这样会使正弦波出现交越失真。R1/R2=2时,起振很慢; R1/R2>2时,正弦波会顶部失真。调试困难。还有,RC桥式振荡器对同轴电位器的精确度要求较高,否则,正弦波很容易失真。 方案二的低通滤波产生正弦波适宜在三角波频率固定或变化小时使用,而本次课程设计要求频率50Hz-20KHz,显然不适合。 方案三滞回比较器和积分比较器首尾相接形成正反馈闭环系统,这样就形成方波发生器和三角波发生器。滞回比较器输出的方波经积分产生三角波,三角波又触发比较器自动翻转成方波。 另外,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。虽然反馈网络中电阻的匹配困难,但可以通过理论计算出每个电阻阻值后再调试。这样可以省下很多功夫。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 3)单元电路设计 方波---三角波产生电路

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

课程设计——波形发生器

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

波形发生器课程设计

1.设计题目:波形发生电路 2.设计任务和要求: 要求:设计并用分立元件和集成运算放大器制作能产生方波和三角波波形的波形发生器。 基本指标:输出频率分别为:102H Z 、103H Z ;输出电压峰峰值V PP ≥20V 3.整体电路设计 1)信号发生器: 信号发生器又称信号源或振荡器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。各种波形曲线均可以用三角函数方程式来表示,如三角波、锯齿波、矩形波(含方波)、正弦波。通过模拟电子技术设计的波形发生器是一个不需要外加输入信号,靠自身振荡产生信号的电路。2)电路设计: 整体电路由RC振荡电路,反相输入的滞回比较器和积分电路组成。 理由:a)矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分; b)产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈; c)输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。 RC振荡电路:即作为延迟环节,又作为反馈电路,通过RC充放电实现输出状态的自动转换。 反相输入的滞回比较器:矩形波产生的重要组成部分。 积分电路:将方波变为三角波。 3)整体电路框图: 为实现方波,三角波的输出,先通过 RC振荡电路,反相输入的滞回比较器得到方波,方波的输出,是三角波的输入信号。三角波进入积分电路,得出的波形为所求的三角波。其电路的整体电路框图如图1所示:

图1 4)单元电路设计及元器件选择 a ) 方波产生电路 根据本实验的设计电路产生振荡,通过RC 电路和滞回比较器时将产生幅值约为12V 的方波,因为稳压管选择1N4742A (约12V )。电压比较电路用于比较模拟输入电压与设定参考电压的大小关系,比较的结果决定输出是高电平还是低电平。滞回比较器主要用来将信号与零电位进行比较,以决定输出电压。图3为一种滞回电压比较器电路,双稳压管用于输出电压限幅,R 3起限流作用,R 2和R 1构成正反馈,运算放大器当u p >u n 时工作在正饱和区,而当u n >u p 时工作在负饱和区。从电路结构可知,当输入电压u in 小于某一负值电压时,输出电压u o = -U Z ;当输入电压u in 大于某一电压时,u o = +U Z 。运算放大器在两个饱和区翻转时u p =u n =0,由此可确定出翻转时的输入电压。u p 用u in 和u o 表示,有 2 1o 1in 22 1o 2 in 1p 111 1R R u R u R R R u R u R u ++= ++= 根据翻转条件,令上式右方为零,得此时的输入电压 th Z 2 1 o 21in U U R R u R R u ==-= U th 称为阈值电压。滞回电压比较器的直流传递特性如图4所示。设输入电压初始值小于-U th ,此时u o = -U Z ;增大u in ,当u in =U th 时,运放输出状态翻转,进入正饱和区。如果初始时刻运放工作在正饱和区,减小u in ,当u in = -U th 时,运放则开始进入负饱和区。 RC 振荡电路 积分电路 方波 三角波 反相输入的滞回比较 生成 生成 输入 积分电路 输入

波形发生器设计实验报告

一、实验目的 (1)熟悉555型集成时基电路结构、工作原理及其特点。 (2)掌握555型集成时基电路的基本应用。 (3)掌握由555集成型时基电路组成的占空比可调的方波信号发生器。 二、实验基本原理 555电路的工作原理 555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体。 555芯片管脚介绍 555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。

用555定时器组成的多谐振荡器如图所示。接通电源后,电容C2被充电,当电容C2上端电压Vc 升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T 导通,此时电容C2通过R1放电,Vc 下降。当Vc 下降到Vcc/3时,V0翻转为高电平。电容器C2放电所需的时间为 2ln 12??=C R t pL ( 1-1) 当放电结束时,T 截止,Vcc 将通过R1,R2,R3向电容器C2充电,Vc 由Vcc/3 上升到2Vcc/3所需的时间为 22)321(7.02ln )321(C R R R C R R R t pH ++=++= (1-2) 当Vc 上升到2Vcc/3时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。电路的工作波形如图4,其中的震荡频率为 : f=1/(tpL+tpH )=1.43/(2R1+R2+R3) C2 (1-3) 三、实验设计目标 波形发生器是建立在模拟电子技术基础上的一个设计性实验,它是借助综合测试板上的555芯片和一片通用四运放324芯片,以及各种电阻、电感、电容等基本元器件,从而设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路,其借助于计算机软件multisim 仿真以及电路板硬件调

波形发生器课程设计报告

课程设计报告书 波形发生器 学院电子与信息学院 专业班级 学生姓名 学生学号 指导教师 课程编号 课程学分1 起始日期2017 波形发生器 一、选题背景 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、矩形波的函数波形发生器。 二、方案论证 1、设计题目要求 1.1、功能要求 同时三通道输出,采用正弦波、矩形波、三角波的级联结构; 电源由稳压电源供给; 1.2、指标要求: 输出电压要求正弦波Vp-p>10V、矩形波Vp-p>10V、三角波Vp-p>4V; 输出波形频率范围为100Hz—2kHz;

通带内输出电压幅度峰峰值误差不大于5%; 矩形波占空比可调整,调整范围:10%~90%; 2、总体设计方案 2.1设计思路 根据模拟电子技术基础课程,可通过RC桥式正弦波振荡电路产生正弦波,通过比较器变换成矩形波,再通过积分电路变换成三角波;或者同过滞回比较器和RC电路组成的矩形波发生电路产生矩形波,通过积分电路变换成三角波,再用滤波法变换成正弦波。 2.2设计方案 满足上述设计功能可以实施的方案很多,现提出以下几种方案: 2.2.1方案一 ①原理框图 图2.2.1方案一原理框图 ②基本原理 通过RC桥式正弦波振荡电路,产生正弦波,改变电阻R和电容C的值实现频率可调;通过单限比较器,产生矩形波,接入参考电压,通过改变与参考电压串联电阻的阻值,实现占空比可调;通过积分电路,产生三角波。 2.2.2方案二 ①原理框图

波形发生器的设计全解

正 文 1 选题背景 波形发生器又名信号源,广泛应用于电子电路、自动控制和科学试验等领域。雷达、通信、宇航、遥控遥测技术和电子系统等领域都随处可见波形发生器的应用。如今作为电子系统心脏的信号源的性能很大程度上决定了电子设备和系统的性能的提高,因此随着电子技术的不断发展,现今对信号源的频率稳定度、频谱纯度和频率范围以及信号波形的形状提出越来越高的挑战。 1.1指导思想 利用NE555构成多谐振荡器产生方波,根据LM324输出的锯齿波分别通入低通滤波器和高通滤波器就可以输出正弦波Ⅰ、正弦波Ⅱ。 1.2 方案论证 方案一:使用NE555芯片构成多谐振荡器,输出方波,通过锯齿波发生电路产生锯齿波,然后通过一个KHz f H 10=的低通滤波器,通过滤波产生一次,8KHz 到10KHz 的正弦波,然后再让锯齿波通过一个24KHz~30KHz 的带通滤波器,输出三次正弦波。其中滤出三次谐波的理论依据是,由于锯齿波是一个关于t 的周期函数,并且满足狄里赫莱条件:在一个周期内具有有限个间断点,且在这些间断点上,函数是有限值;在一个周期内具有有限个极值点;绝对可积。 方案二:使用功放构成文森桥式震荡电路,产生出8KHz~10KHz 的正弦波。接着是用NE555芯片,搭建出施密特触发电路,产生脉冲波输出;将脉冲波分别输入一个KHz f H 10=的低通滤波器和24KHz~30KHz 的带通滤波器电路中,产生一次和三次正弦波。 最初方案设计的大体思路在方案一和方案二之间犹豫不决,于是将两个电路的大体电路都进行了简单的设计,发现方案二存在很多的问题很难解决。 问题一:如果使用文森桥式震荡器产生正弦波,改变震荡频率就需要改变RC 常数,要同时改变两个R (在实际电路中,同时改变两个电容的值是很复杂的,而且这样也无法得到一个8KHZ~10KHz 的连续的频率),需要双滑动变阻器并且要保证滑动变阻器改变的值完全相同,有一定困难。 问题二:NE555芯片搭建出来的是一个简单的施密特触发器,输入正弦波之后,输出的脉冲波的占空比是不可以调整的,不满足实验要求的占空比可调的条件。要是施密特触发器产生的脉冲波的占空比可调会是该电路进一步复杂化。 问题三:LM324芯片的功放不够,由于有Ω600负载电阻的限制,输出波形的峰峰值不能简单的通过电阻的分压来实现。 鉴于方案二存在的问题能以解决,我们就确定选择方案一的整体思路进行方案的设计。 1.3 基本设计任务 用555 定时器和四运放LM324 设计并制作一个频率可变的、能够同时输出脉冲波、

相关文档
最新文档