数字逻辑 数字时钟

数字逻辑 数字时钟
数字逻辑 数字时钟

武汉工程大学

计算机科学与工程学院综合设计报告

设计名称:信息硬件综合设计

设计题目:数字钟的设计与实现

学生学号: 1205110204 专业班级: 12信技02班

学生姓名:陈振

学生成绩:

指导教师(职称):陈艳(讲师)

完成时间: 2014年5月6日至 2014年6月6日武汉工程大学计算机科学与工程学院制

说明:

1、报告中的第一、二、三项由指导教师在综合设计开始前填写并发

给每个学生;四、五两项(中英文摘要)由学生在完成综合设计后填写。

2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩。

3、指导教师评语一栏由指导教师就学生在整个设计期间的平时表现、

设计完成情况、报告的质量及答辩情况,给出客观、全面的评价。

4、所有学生必须参加综合设计的答辩环节,凡不参加答辩者,其成

绩一律按不及格处理。答辩小组成员应由2人及以上教师组成。

5、报告正文字数一般应不少于5000字,也可由指导教师根据本门综

合设计的情况另行规定。

6、平时表现成绩低于6分的学生,其综合设计成绩按不及格处理。

7、此表格式为武汉工程大学计算机科学与工程学院提供的基本格式

(适用于学院各类综合设计),各教研室可根据本门综合设计的特点及内容做适当的调整,并上报学院批准。

答辩记录表

成绩评定表

学生姓名:陈振学号: 1205110204 班级: 12信技02班

指导教师评语

多功能数字时钟的功能和特点

多功能数字时钟的功能和特点: 1. 上电1s复位功能,手动复位功能,复位会给出1s振铃信号; 2. 基本时钟计时功能; 3. 闹钟功能; 4. 计数器倒计时功能; 5. 整点报时功能; 6. 闹钟具有懒人模式功能,如果启动懒人模式,闹钟振铃每隔一分钟就响一次,如果不启动懒人模式,闹钟振铃响一分钟就停止; 7. 任意键关闭闹钟振铃功能(与懒人模式有关); 8. 计数器自动装载功能,可实现00h:00m:15s---99h:59m:59s的循环定时振铃; 9. 计数器手动启动功能; 10. 一键启动或关闭闹钟或者计数器功能; 11. 自动检验时钟、闹钟、和计数器设置数字的正确性,不正确的数字不能被输入系统; 12. 液晶LCD1602显示,可同时查看时间和闹钟设置时间或者计数器时间; 13. 菜单设置功能,人机界面友好; 14. 30秒不操作,自动退出菜单功能; 15. 8个基本按键:“↑”、“↓”、“←”、“→”、“确定”、“取消”、“闹钟开关alarm_sw”和“计数器开关cntalm_sw”完成全部操作; 16. 可以插上4×4小键盘进行快速操作; 17. 键盘自动消抖; 18. 4×4小键盘快捷键; 19. 用4×4小键盘设置时间或闹钟或计数器数字时,正确设置一位数字后,自动移到下一位数字进行设置; 20. “↑”、“↓”键连击功能实现快速数字设定; 21. 时钟后台计时功能,查看菜单不会影响时钟计时。 22. 各种振铃声音不同,容易分辨,声音洪亮(要换成脉冲型的蜂鸣器)。 ************************************************************************ 多功能数字时钟的使用方法: 一、时钟的设定和显示: 1. 正常显示时间的界面下,液晶上第一行显示当前时钟的时间,格式为:“Time : h1h0:m1m0:s1s0”,其中“:”会0.5s亮0.5s灭。 第二行可以显示设定的闹钟时间、或当前的计数器计数值、或计数器的设定时间,可以通过接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择某一个来显示。 例如:选择显示设定的闹钟时间,格式为:“Alarm : h1h0:m1m0:s1s0”,其中“:”一直亮。例如:选择显示当前的计数器计数值,格式为:“CntTim: h1h0:m1m0:s1s0”,其中“:”一直亮。 例如:选择显示计数器的设定时间,格式为:“CntBuf: h1h0:m1m0:s1s0”,其中“:”一直亮。 2. 首先,在正常显示时间的界面下按“确定”键(接口板s6键或者4×4小键盘上的“确定”

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

单片机电子时钟的设计

单片机电子时钟的设计 ----------- 基于单片机的电子时钟 专业:运算机科学与技术 班级:专升本1班 小组成员:张琴张娜赵慧佩 学号:23 24 25

基于单片机的电子时钟设计 摘要 20世纪末,电子技术获得了飞速的进展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的进展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时刻观念,能够说是时刻和金钱划上了等号。关于那些对时刻把握专门严格和准确的人或事来说,时刻的不准确会带来专门大的苦恼,因此以数码管为显示器的时钟比指针式的时钟表现出了专门大的优势。数码管显示的时刻简单明了而且读 数快、时刻准确显示到秒。而机械式的依靠于晶体震荡器,可能会导致误差。 数字钟是采纳数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳固度远远超过老式机械钟。在这次设计中,我们采纳LED数码管显示时、分、秒,以24 小时计时方式,依照数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时刻的其本功能,还能够实现对时刻的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受宽敞消费的喜爱,因此得到了广泛的使用。 .

目录 第一章绪论 1.1 数字电子钟的背景 (4) 1.2 数字电子钟的意义 (4) 1.3 数字电子钟的应用 (4) 第二章整体设计方案 2.1 单片机的选择 (5) 2.2 单片机的差不多结构 (7) 第三章数字钟的硬件设计 3.1 最小系统设计 (11) 3.2 LED显示电路 (14) 第四章数字钟的软件设计 4.1 系统软件设计流程图 (16) 4.2 数字电子钟的原理图 (19) 第五章系统仿真 5.1 PROTUES软件介绍 (20) 5.2 电子钟系统PROTUES仿真 (21) 第六章调试与功能说明 6.1 硬盘调试 (22) 6.2 系统性能测试与功能说明 (22) 6.3 系统时钟误差分析 (22) 6.4 软件调试问题及解决 (22) 附件:主程序 (23)

多功能时钟(万年历)设计

多功能时钟(万年历) 设 计 报 告 专业电子信息科学与技术 班级13级电子专升本 姓名韩科峰 学号130522012 考勤成绩设计成绩 调试成绩报告成绩 总成绩

一、课题名称 多功能时钟(万年历)设计 二、内容摘要 美国DALLAS公司推出的具有涓细电流充电能的低功耗实时时钟电路DS1302。它可以对年、月、日、周日、时、分、秒进行计时,还具有闰年补偿等多种功能,而且DS1302的使用寿命长,误差小。对于数字电子万年历采用直观的数字显示,可以同时显示年、月、日、周日、时、分、秒和温度等信息,还具有时间校准等功能。该电路采用AT89S52单片机作为核心,功耗小,能在3V的低压工作,电压可选用3~5V电压供电。 综上所述此万年历具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 本设计是基于单片机进行的电子万年历设计,可以显示年月日时分秒及周信息,具有可调整日期和时间功能。在设计的同时对单片机的理论基础和外围扩展知识进行了比较全面准备。 关键词: 三、设计指标(要求); 1、显示时间、日期由按键选择显示(日期时间可调整)。 2、可设置闹钟功能; 3、制作PC机设置界面软件,由PC机可完成对时钟的各项设置 四、系统框图;

STC12C5A08S2 单片机 DS1302时钟模块 五、各单元电路设计、参数计算和元器件选择 4位共阴极数码管 按键

六、工作原理 DS1302在每次进行读、写程序前都必须初始化,先把SCLK端置“0”,接着把RST端置“1”,最后才给予SCLK脉冲;DS1302的控制字的位7必须置1,若为0则不能把对DS1302进行读写数据。对于位6,若对程序进行读/写时RAM=1,对时间进行读/写时,CK=0,位1至位5指操作单元的地址。位0是读/写操作位,进行读操作时,该位为1;该位为0则表示进行的是写操作。控制字节总是从最低位开始输入/输出的。 “CH”是时钟暂停标志位,当该位为1时,时钟振荡器停止,DS1302处于低功耗状态;当该位为0时,时钟开始运行。“WP”是写保护位,在任何的对时钟和RAM的写操作之前,WP必须为0。当“WP”

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

AT89C51单片机电子时钟设计资料

AT89C51单片机电子时钟设计 学院: 专业: 学号: 学生:

目录 1 电子时钟 (4) 1.1 电子时钟简介 (4) 1.2 电子时钟的基本特点 (4) 1.3 电子时钟的原理 (4) 2 单片机识的相关知识 (4) 2.1单片机简介 (4) 2.2 单片机的特点 (5) 2.3 AT89C51单片机介绍 (5) 3 设计方案的选择 (7) 3.1计时方案 (7) 3.2 显示方案 (7) 3.3 数码管显示工作原理 (8) 3.4 键盘电路设计 (9) 3.5 主控模块AT89C51 (9) 4 系统软件设计 (9) 附录 (12)

摘要:单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次设计通过对它的学习、应用,以AT89C51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机;电子时钟;AT89C51

1 电子时钟 1.1 电子时钟简介 本设计采用AT89C51单片机,以汇编语言为程序设计的基础,设计一个用六位数码管显示时、分、秒的时钟。现代的电子时钟是基于单片机的一种计时工具,采用延时程序产生一定的时间中断,用于一秒的定义,通过计数方式进行满六十秒分钟进一,满六十分小时进一,满二十四小时小时清零,从而达到计时的功能,是人民日常生活不可缺少的工具。 1.2 电子时钟的基本特点 现在高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟、石英钟、石英表都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调试,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时、分、秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。 1.3 电子时钟的原理 该电子时钟由AT89C51,键盘,八段数码管等构成,采用晶振电路作为驱动电路,由延时程序和循环程序产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。而电路中唯一的一个控制键却拥有多种不同的功能,按下又松开,可以实现屏蔽数码管显示的功能,达到省电的目的;直接按下不松开,则可以通过按键实现分钟的累加,每按一次分钟加一;而连续两次按下按键不放松,则可实现小时的调节,同样每按一次小时加一。 2 单片机识的相关知识 2.1单片机简介 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。

多功能时钟方案报告(免费)

多 功 能 数 字 钟 设 计 报 告 中国计量学院 2018年5月3日 目录 摘要

1.设计任务 1)基本要求 2)发挥部分 2.方案论证与比较 1)显示部分 2)数字时钟 3)温度采集 4)闹铃部分 5)电源模块 3.总体方案 1)工作原理 2)总体设计 4.系统硬件设计 1)STC89C52RC单片机最小系统 2)测温模块 3)时钟模块 4)存储器模块 5) LCD显示模块 6)电源模块 5.单片机程序部分 1)程序编写 6.测试与结果分析 1)基本部分测试与分析 2)发挥部分测试与分析 3)创新部分测试与分析 7.设计总结 摘要本设计采用LCD液晶屏幕显示系统,以STC89C52RC单片机为核心,由键盘、温度采集、定时闹铃、日期提醒等功能模块组成。基于题目基本要求,本系统对时间显示、闹铃方式进和温度采集系统行了重点设计。此外,扩展了整点报时、非易失闹铃信息存储、国内外重要节日提醒等功能。本系统大部分功能由软件来实现,吸收了硬件软件化的思想,大部分功能通过软件来实现,使电路简单明了,系统稳定性大大提高。本系统不仅成功的实现了要求的基本功能,多数发挥部分也得到了实现,而且还具有一定的创新功能。

关键字:STC89C52RC单片机、LCD液晶显示、双电源供电、温度采集、非易失定时闹铃、生日提醒、重要节日提醒、整点报时 1、任务设计 1)基本要求 <1)具有时间设置<小时和分钟)、闹钟时间设置、闹钟开、闹钟关功能。 <2)数字显示小时、分钟,有AM、PM指示器,闹钟就绪灯,蜂鸣器。 <3)利用键盘或其它方式切换,数字显示年、月、日、周次。 <4)利用键盘或其它方式切换,数字显示当前环境温度<0~60℃0.2℃)。 <5)利用手势或其它任意方式非接触停止闹钟。 2)发挥部分 <1)220VAC供电,具有测量、显示电网频率、电压有效值功能。 <2)产生0-100k方波,频率10Hz步进可调,峰峰值〉20V<100欧姆负载),频率可以键盘控制。 <3)断电后,可保存电压、频率测量值,断电时间,闹钟设置值等参数,可自动保存20次,系统来电后,无需手动设置,即可恢复正常工作。 <4)遥控设置闹钟、时间等参数。 2、方案论证 1)显示部分: 显示部分是本次设计的重要部分,一般有以下两种方案: 方案一:采用LED显示,分静态显示和动态显示。对于静态显示方式,所需的译码驱动装置很多,引线多而复杂,且可靠性也较低。而对于动态显示方式,虽可以避免静态显示的问题,但设计上如果处理不当,易造成亮度低,有闪烁等问题。 方案二:采用LCD显示。LCD液晶显示具有丰富多样性、灵活性、电路简单、易于控制而且功耗小等优点,对于信息量多的系统,是比较适合的。 鉴于上述原因,我们采用方案二。 2)数字时钟 数字时钟是本设计的核心的部分。根据需要可采用以下两种方案实现: 方案一:方案完全用软件实现数字时钟。原理为:在单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将时字节清零。该方案具有硬件电路简单的特点,但当单片机不上电,程序将不执行。而且由于每次执行程序时,定时器都要重新赋初值,所以该时钟精度不高。 方案二:方案采用Dallas公司的专用时钟芯片DS1302。该芯片内部采用石英晶体振荡器,其芯片精度不大于10ms/年,且具有完备的时钟闹钟功能,因此,可直接对其以用于显示或设置,使得软件编程相对简单。为保证时钟在电网电压不足或突然掉电等突发情况下仍能正常工作,芯片内部包含锂电池。当电网电压不足或突然掉电时,可使系统自动转换到内部锂电池供电系统。而且即使系统不上电,程序不执行时,锂电池也能保证芯片的正常运行,以备随时提供正确的时间。 基于时钟芯片的上述优点,本设计采用方案二完成数字时钟的功能。 3)温度采集 由于现在用品追求多样化,多功能化,给系统加上温度测量显示模块,能够方便人们的生活,使该设计具有人性化。 方案一:采用热敏电阻,可满足40摄氏度至90摄氏度测量范围,但热敏电阻精度、重复

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑电路课程设计__数字钟

《数字逻辑》课程设计 实验报告书 题目:数字钟 姓名: 专业: 班级: 学号: 指导教师:

目录 一、设计任务要求 (3) 二、设计思想及说明 (4) 三、设计和实现过程 (4) 四、经验、体会总结 (12) 五、参考文献 (13)

一、设计任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、设计一个有“时”、“分”、“秒”(11小时59分59秒)显示且有校时功能的数字钟。 2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。 3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。 4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。 5、画出框图和逻辑电路图。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、仿广播电台整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。

二、设计思想及说明 数字钟原理框图下图所示,电路一般包括以下几个部分:切换电路、时分秒计数器、校时电路、整点报时电路及星期显示电路。其基本原理是:秒计数器按“60进制”向分计数器进位,分计数器按“60进制”向时计数器进位,小时计数器按“24进制”规律计数,计数器经译码器送到显示器。计数出现误差可用校时电路进行校时,校分,并具有可整点报时功能。 电路组成框图:

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

多功能时钟设计

可编程逻辑器件及其应用 设计报告 姓名:王克勤 院系:******* 学号:******* 日期:2012-04-09

多功能数字钟设计 一、设计内容及要求 用Verilog HDL设计一个多功能数字钟 基本功能描述: 1.时钟功能:包括时、分、秒的显示; 2.定时与闹钟功能:能在设定的时间发出闹铃音; 3.校时功能:能非常方便地对小时、分钟和秒进行手动调整以校准时间; 4.整点报时功能:每逢整点,产生“嘀嘀嘀嘀--嘟”,四短一长的报时音。 二、仿真环境说明 用Verilog在Altera公司的Quartus2软件环境下编写RTL代码,并进行综合,行为仿真。时序仿真采用Mentor公司旗下的ModelsimSE-6.1f软件。Modelsim 软件是业界最著名的波形仿真软件,仿真效果比Quartus2下编写波形文件仿真效果要好很多,而且仿真相当简单,只不过要编写测试向量(testbench),有点麻烦。 三、系统框图与说明 Figure1系统框图 1.数字钟电路系统由主体电路和扩展电路两大部分所组成。 2. 秒计数器计到59后,下一秒到来时,秒计时器满60,接着清零后向分计数器进位;同样分计数器计满60后向小时计数器进位;小时计数器按照“24进制”

规律计数,每当小时计到23小时时,当分计数和秒计数分别59,59时,即到23小时59分,59秒时候,时分秒全部清零,从新开始计数。 3. 计数器的输出经译码器送显示器,显示器用6个数码管表示,每两个数码管分别表示小时,分钟,秒钟。每个数码管用BCD码表示。 四、设计步骤 (一)列写多功能数字钟设计结构图 (二)在QuartusП软件下编写Verilog代码 (三)在QuartusП环境下进行综合 Figure2综合结果 从Fig.2可以看出,该设计采用Altera公司CycloneП系列下的EP2C35F672C8芯片。从图中可以看出,采用了162个逻辑单元,其中组合逻辑147个,总共的寄存器数为102个。

数字逻辑电路数字钟课程设计报告模板

江苏大学计算机学院 软件工程课程设计报告书 课程名称数字逻辑电路 课程设计总评成绩 学生姓名、学号卢江涛3100608047 学生专业班级软件工程软件1002班 指导教师姓名赵念强 一.设计目的(内容及功能) 1、能进行正常的时、分、秒计时,用动态扫描的方式显示,需用6个数码管。 (1)用M6M5进行24进制小时的显示。 (2)用M4M3进行60进制分的显示。 (3)用M2M1进行60进制秒的显示。

2、利用按键实现“校时”、“校分”和“秒清0”功能。 (1)SA:校时键。按下SA键时,时计数器迅速递增,按24小时循环,并且计满23时回到00。 (2)SB:校分键。按下SB键时,分计数器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。 (3)SC:秒清零。按下SC时,秒计数器清零。 要求按键均不产生数字跳变,因此须对“SA”、“SB”进行消抖处理。 3、能进行整点报时。 (1)在59分50、52、54、56、58秒按500Hz频率报时; (2)在59分60秒用1KHz的频率作最后一声正点报时。 4、更高要求:能进行闹时功能 (1)闹时的最小时间间隙为10分钟,闹时长度为1分钟。闹时频率可以自己设置。 (2)按下闹时按键SD后,将一个闹时时间数存入计数器内。时钟正常运行时,闹时时间和运行的时间进行比较,当比较结果相同时输出一个启动信号,触发闹时电路工作,输出音频信号。 二、设计要求 1、按自顶向下的层次化设计方法设计。 (1)顶层图 (2)消抖电路用D触发器构成,SA、SB、SC为包含抖动的输入信号,而电路的输出则是一个边沿整齐的输出信号。 (3)计时(24进制计数器),计分(60进制计数器)、计秒(60进制计数器)模块可由10进制计数器连接构成,也可用VHDL语言完成。10进制计数 器需自己设计(用VHDL语言,与所做实验74160计数器相同),不能调 用系统库。 (4)其他如分频电路、提供报时控制信号、闹时电路等模块用VHDL语言实现。数字显示采用动态扫描的方式,也可以采用VHDL编写。 以下是各类器件的底层图及vhdl描述 1.十进制计数器 (1)vhdl语言 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ls160 is port( data:in std_logic_vector(3 downto 0); clk,ld,p,t,clr:in std_logic; count:buffer std_logic_vector(3 downto 0); tc:out std_logic); end ls160; architecture behavior of ls160 is begin tc<='1'when(count="1001"and p='1'and t='1'and ld='1'and clr='1')else'0'; cale:

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

单片机C51时钟的设计报告

单片机课程设计报告 设计名称:单片机电子时钟的设计 班级:电信08级1班 学号: 姓名: 指导教师:

一课程设计的目的 单片计算机即单片微型计算机。(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。他体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。而51单片机是各单片机中最为典型和最有代表性的一种。这次课程设计通过对它的学习,应用,从而达到学习、设计、开发软、硬的能力。 二、课程设计的具体要求: 该课程设计是利用MCS-51单片机内部的定时/计数器、中断系统、以及行列键盘和LED显示器等部件,设计一个单片机电子时钟。设计的电子时钟通过数码管显示,并能通过按键实现设置时间和暂停、启动控制等。用定时/计数器T0,工作于定时,采用方式1,对12MHZ的系统时钟进行定时计数,初值设为XXYY(自己计算)。形成定时时间为50ms。用片内RAM的7BH单元对50ms计数,计20次产生秒计数器78H 单元加1,秒计数器加到60则分计数器79H单元加1,分计数器加到60则时计数器7AH单元加1,时计数器加到24则时计数器清0。然后把秒、分、时计数器分成十位和个位放到8个数码管的显示缓冲区,通过数码管显示出来。显示格式为小时十位、小时个位---分十位、分个位---秒十位、秒个位。在处理过程中加上了按键判断程序,能对按键处理 三.MCS-51单片机系统简介 40个引脚按引脚功能大致可分为4个种类:电源、时钟、控制和I/O引脚。 ⒈电源: ⑴VCC - 芯片电源,接+5V; ⑵VSS - 接地端; 注:用万用表测试单片机引脚电压一般为0v或者5v,这是标准的TTL电平。但有时候在单片机程序正在工作时候测试结果并不是这个值而是介于0v-5v之间,其实这是万用表的响应速度没这么快而已,在某一个瞬间单片机引脚电压仍保持在0v或者5v。 ⒉时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。 ⒊控制线:控制线共有4根, ⑴ALE/PROG:地址锁存允许/片内EPROM编程脉冲 ①ALE功能:用来锁存P0口送出的低8位地址 ②PROG功能:片内有EPROM的芯片,在EPROM编程期间,此引脚输入编程脉冲。 ⑵PSEN:外ROM读选通信号。 ⑶RST/VPD:复位/备用电源。 ①RST(Reset)功能:复位信号输入端。 ②VPD功能:在Vcc掉电情况下,接备用电源。 ⑷EA/Vpp:内外ROM选择/片内EPROM编程电源。 ①EA功能:内外ROM选择端。 ②Vpp功能:片内有EPROM的芯片,在EPROM编程期间,施加编程电源Vpp。 ⒋I/O线 80C51共有4个8位并行I/O端口:P0、P1、P2、P3口,共32个引脚。 P3口还具有第二功能,用于特殊信号输入输出和控制信号(属控制总线) 四、MCS-51单片机内部定时器/计数器、中断系统简介 定时/计数器是单片机中重要的功能模块之一,在检测,控制和智能仪器等设备中经常用它来定时。MCS-51系列中51子系列有2个16位的可编程定时/计数器:T0和T1;每个定时计数器既可以对系统时钟计数实现定时,也可以对外部信号计数实现计数功能,通过编程设定来实现。T0有4种工作方式,T1有3种工作方

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

相关文档
最新文档