简单洗衣机控制基于单片机

简单洗衣机控制基于单片机
简单洗衣机控制基于单片机

1.用单片机控制洗衣机

通信1411 于波

2.项目名称:项目九:洗衣机控制

3.项目内容及要求;

内容:

设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。要求:

1.画出控制系统的框图,说明系统方案设计的思路、理由或依据;

2.选择、确定组成控制系统的各个单元,并阐述选择确定的原则或

依据;

3.画出完整的电气原理图,介绍整体电路的工作原理、性能或特点;

4.如采用单片机控制,给出单片机程序的流程图和清单,说明程序

的工作原理。

5.制作实物电路,验证设计、制作是否正确。

4.题目分析及设计思路;(弄清楚要完成设计的技术要点、关键是什

么,有哪些需要注意的事项,可以采用哪些方法和手段,有哪些可用的方案或电路形式,等等。)

要完成本项目设计的技术要点是对洗衣机的整个运行流程深刻理解之后,再用程序将他表现出来,说以关键就在于程序的编写。需要注意的是运动执行器是用指示灯模拟,水位用开关模拟,还要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调所以大概就要用到6个发光二极管和8个复位按键,因此就要对整个流程控制的逻辑顺序有个很好的设计。对于程序的编写可以采用单片机或PLC (就目前所学而言),而对于这样一个简单的小程序就采用单片机即可。

5. 方案设计说明;(在充分调研、思考的基础上确定电路方案并说明

理由。画出电路的系统框图,说明系统框图的原理。) 一开始看到这个题目我就打算使用单片机来完成本设计,因为单片机使用方便,只需一个最小系统即可,在宿舍就可完成对程序的编写和修改,而PLC 价格昂贵且不能随时可用。就本项目采用单片机而言需要一个单片机最小系统,思维数码管及驱动,一组LED 和按键。 系统框图:

通过对八个按键的操作,可对水位设置,洗衣时间设置,开始洗衣,水位确定。四位数码管可显示水位的设置值,洗衣时间设置值,还有洗衣时间计时等。六个LED 有两个表示洗衣机波轮的正反转,两

MCU

(AT89C51)

四位数码管

八 个 控 制 按 键

六个LED 指示灯

个进水和排水,一个脱水,一个洗衣指示灯。通过编写程序实现单片机对按键,四位数码管和发光二极管的控制。

系统流程图:

启动

开进水阀进水

是否达到设定水位

关进水阀

正转5秒

停止3秒

反转5秒

停止3秒

是否达到设定时间

开排水阀

脱水1分钟

水是否放完

停止脱水

关排水阀

结束否

否否

6.单元设计说明;(按项目的具体要求撰写,没有可不写。)

单片机最小系统:

系统框图:

最小系统电路图:

MCU

(AT89C51)

复位电路

时钟电路

输入/输出

设备

电源

7.完整电路原理分析;(按项目的具体要求撰写)

通过单片机扫描水位设置按键和洗衣时间设置按键来设置水位和洗衣时间在四位数码管上显示设置的值和计时时间。进水阀指示灯亮表示进水阀已打开,正转和反转指示灯亮表示洗衣机波轮的正转和反转。脱水阀亮表示脱水阀已打开可以排水。

8.制作、调试情况;(如实介绍,重点介绍制作、调试过程中遇到的

问题和解决问题的方法)

在制作,调试中遇到了许多大大小小的问题,在这选一些较为重要的写出。

1.在焊接完单片机最小系统进行调试时指示灯不会亮,也不能下载程序,经过几番检查发现指示灯正负接反,单片机引脚焊点有虚焊点。

2.编写好程序进行调试时,四位数码管不能正常显示,经检查发现共阳的数码管使用了共阴的数码管编码。

3.在调试程序中,发现四位数码管显示较暗且有闪烁,经多次调试,将数码管显示程序写在定时器中断函数里,发现结果好多了。

4.在测试程序中发现按键不起作用,检查了程序和硬件电路发现按键连接的是地,而程序写的高电平接通。改了下程序结果就出来了。

9.实训成果;(如实介绍实训成果的情况)

八个按键从左到右的作用:按键1水位设置和洗衣时间设置切换选择,按键2和按键3是加减数值,按键4是启动,按键5按键6按键7按键8是表示水位的零水位和高中低水位。

10.心得体会:

通过本次实训,收获颇多,感觉自己有进步了一点,许多东西只用大脑去想是不行的,理论可行但实际可不可行就不知道,这就需要实际动手操作了才知道,一开始看了这个项目觉得很简单,随便编写个程序就可以了,但实际坐下来就没有那么简单了,首先硬件电路焊接就出现许多问题,跟别说编写和调试程序就用了一个多星期。不过

实际做出来,感觉还是挺好的。这也让我进一步了解了单片机的使用,掌握了一些运动控制的思路与方法。

11.附件:完整程序

#include

#define uint unsigned int

#define uchar unsigned char

uchar gewei,shiwei;

uchar xiyitime,num3;

uchar shuiweizhi,shuiwei;

uint num1,num2;

sbit wei1=P1^0;

sbit wei2=P1^1;

sbit wei3=P1^2;

sbit wei4=P1^3;

sbit shuiwei0=P1^4; //

sbit shuiwei1=P1^5; //低水位

sbit shuiwei2=P1^6; //中水位

sbit shuiwei3=P1^7; // 高水位

sbit shezhi_key=P2^0;

sbit qidong_key=P2^1;

//sbit xiyi_key=P2^0;

sbit up_key=P2^2;

sbit down_key=P2^3;

sbit shuifa=P2^4;

sbit paishuifa=P2^5;

sbit zhengzhuang=P2^6;

sbit fanzhuang=P2^7;

sbit tuoshui=P3^6;

sbit zhishiled=P3^7;

//此表为LED 的字模, 共阴数码管0-9 -

unsigned char code segtab[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,

0xc6,0xa1,0x86,0x8e}; //段码控制

unsigned char code segtab1[]={0x40,0x4F,0x24,0x30,0x19,0x12,

0x02,0x78,0x00,0x10}; //共阴0-9带小数点编码

//延时X毫秒函数11.0592Mhz时

void delay_xms(uint xms)

{

uint i,j;

for(i=xms;i>0;i--)

for(j=110;j>0;j--);

}

//延时X秒函数

void delay_s(uint xs)

{

uint x;

for(x=xs;x>0;x--)

delay_xms(1000);

}

//延时X分钟函数

void delay_min(uint xmin)

{

uint m,n;

for(m=xmin;m>0;m--)

for(n=60;n>0;n--)

delay_s(1);

}

//取操作数的个十位

void chuli(uint num)

{

gewei=num%10;

shiwei=(num/10)%10;

}

//按键扫描函数

void keysaomiao()

{

uchar jianzhi;

if(shezhi_key==0)

{

delay_xms(10);

if(!shezhi_key)

{

jianzhi++;

if(jianzhi>2)

jianzhi=1;

while(!shezhi_key);

}

}

if(jianzhi==1) //水位设置

{

if(up_key==0)

{

delay_xms(10);

if(up_key==0)

{

shuiwei++;

if(shuiwei>3)

{

shuiwei=0;

}

while(!up_key);

}

}

if(down_key==0)

{

delay_xms(10);

if(down_key==0)

{

if(shuiwei<=0)

{

shuiwei=3;

}

shuiwei--;

while(!down_key);

}

}

chuli(shuiwei);

P0=segtab[gewei];

wei1=0;

delay_xms(5);

wei1=1;

P0=segtab[shiwei];

wei2=0;

delay_xms(5);

wei2=1;

P0=0xFE;

wei3=0;

delay_xms(5);

wei3=1;

P0=0x9C;

wei4=0;

delay_xms(5);

wei4=1;

}

if(jianzhi==2)

{

if(up_key==0)

{

delay_xms(10);

if(up_key==0)

{

xiyitime++;

if(xiyitime>12)

{

xiyitime=3;

}

while(!up_key);

}

}

if(down_key==0)

{

delay_xms(10);

if(down_key==0)

{

xiyitime--;

if(xiyitime<3)

{

xiyitime=12;

}

while(!down_key);

}

}

chuli(xiyitime);

P0=segtab[gewei];

wei1=0;

delay_xms(5);

wei1=1;

P0=segtab[shiwei];

wei2=0;

delay_xms(5);

wei2=1;

P0=0xF7;

wei3=0;

delay_xms(5);

wei3=1;

P0=0xA3;

wei4=0;

delay_xms(5);

wei4=1;

}

}

//水位检测子函数

void shuiweijiance()

{

if(shuiwei0==0)

{

delay_xms(10);

if(shuiwei0==0)

{

shuiweizhi=0;

while(!shuiwei0);

}

}

if(shuiwei1==0)

{

delay_xms(10);

if(shuiwei1==0)

{

shuiweizhi=1;

while(!shuiwei1);

}

}

if(shuiwei2==0)

{

delay_xms(10);

if(shuiwei2==0)

{

shuiweizhi=2;

while(!shuiwei2);

}

}

if(shuiwei3==0)

{

delay_xms(10);

if(shuiwei3==0)

{

shuiweizhi=3;

while(!shuiwei3);

}

}

}

//初始化函数

void init()

{

num2=60;

num3=4;

shuiweizhi=0;

xiyitime=3;

TMOD=0x01;

//TH0=(65536-45872)/256;//11.0592M晶振//TL0=(65536-45872)%256;

TH0 = 0xB1;//12M晶振

TL0 = 0xE0;

EA=1;

ET0=1;

}

/************主函数**********************/

void main()

{

uint i,j;

init();

P0=0xbf;

wei1=0;

wei2=0;

wei3=0;

wei4=0;

while(qidong_key)

{

keysaomiao();//水位和洗衣时间设置

}

num3=xiyitime-1;

if(qidong_key==0)

{

delay_xms(10);

if(qidong_key==0)//启动键按下

{

shuifa=0; //开进水阀进水

while(shuiwei!=shuiweizhi)//检测是否到达设定水位

{

shuiweijiance();

chuli(shuiweizhi);

P0=segtab[gewei];

wei1=0;

delay_xms(5);

wei1=1;

P0=segtab[shiwei];

wei2=0;

delay_xms(5);

wei2=1;

delay_xms(5);

chuli(shuiwei);

P0=segtab[gewei];

wei3=0;

delay_xms(5);

wei3=1;

P0=segtab[shiwei];

wei4=0;

delay_xms(5);

wei4=1;

}

while(i<100)

{

i++;

shuiweijiance();

chuli(shuiweizhi);

P0=segtab[gewei];

wei1=0;

delay_xms(5);

wei1=1;

P0=segtab[shiwei];

wei2=0;

delay_xms(5);

wei2=1;

delay_xms(5);

chuli(shuiwei);

P0=segtab[gewei];

wei3=0;

delay_xms(5);

wei3=1;

P0=segtab[shiwei];

wei4=0;

delay_xms(5);

wei4=1;

}

delay_s(1);

while(j<300)

{

j++;

chuli(shuiwei);

P0=segtab[gewei];

wei1=0;

delay_xms(5);

wei1=1;

P0=segtab[shiwei];

wei2=0;

delay_xms(5);

wei2=1;

chuli(xiyitime);

P0=segtab[gewei];

wei3=0;

delay_xms(5);

wei3=1;

P0=segtab[shiwei];

wei4=0;

delay_xms(5);

wei4=1;

}

shuifa=1;

zhishiled=0;

TR0=1;

while(!num3==0)

{

if(num2>=59)

zhengzhuang=0;

if(num2==55)

zhengzhuang=1;

if(num2==52)

fanzhuang=0;

if(num2==46)

fanzhuang=1;

if(num2==42)

zhengzhuang=0;

if(num2==35)

zhengzhuang=1;

if(num2==30)

fanzhuang=0;

if(num2==23)

fanzhuang=1;

if(num2==19)

zhengzhuang=0;

if(num2==13)

zhengzhuang=1;

if(num2==9)

fanzhuang=0;

if(num2==3)

fanzhuang=1;

}

TR0=0;

zhengzhuang=1;

fanzhuang=1;

paishuifa=0;

while(1)

{

if(shuiwei0==0)

delay_xms(10);

if(shuiwei0==0)

{

P0=0xbf;

wei1=0;

wei2=0;

wei3=0;

wei4=0;

tuoshui=0;

delay_min(1);

tuoshui=1;

paishuifa=1;

P0=0;

delay_s(2);

zhishiled=1;

break;

}

}

}

}

}

void zhongduangT0() interrupt 1

{

//TH0=(65536-45872)/256;//11.0592M晶振//TL0=(65536-45872)%256;

TH0 = 0xB1;//12M晶振

TL0 = 0xE0;

num1++;

if(num1>=50)

{

num2--;

num1=0;

if(num2<=0)

{

num2=59;

num3--;

if(num3==0)

{

TR0=0;

}

}

}

chuli(num2);

wei1=0;

P0=segtab[gewei];

delay_xms(4);

wei1=1;

wei2=0;

P0=segtab[shiwei];

delay_xms(4);

wei2=1;

chuli(num3);

wei3=0;

P0=segtab1[gewei];

delay_xms(4);

wei3=1;

wei4=0;

P0=segtab[shiwei];

delay_xms(4);

wei4=1;

}

单片机课程设计报告_基于89C51的全自动洗衣机控制器设计

单片机系统 课程设计 成绩评定表 设计课题基于89C51的全自动洗衣机控制器设计学院名称:电气工程学院 专业班级: 学生: 学号: 指导教师: 设计地点: 设计时间: 指导教师意见: 成绩: 签名:年月日

单片机系统 课程设计 课程设计名称:基于89C51的全自动洗衣机控制器设计专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:

单片机系统课程设计任务书学生专业班级学号 题目 课题性质工程设计课题来源自拟指导教师 主要容(参数)利用89C51设计全自动洗衣机,实现以下功能: 1.可以实现自动洗涤功能 2.衣物脱水功能 3.洗衣机完成一次洗涤之后会发出警报,提示已经洗完 任务要求(进度) 第1-2天:熟悉课程设计任务及要求,查阅技术资料,确定设计方案。 第3-4天:按照确定的方案设计单元电路。要求画出单元电路图,元件及元件参数选择要有依据,各单元电路的设计要有详细论述。 第5-6天:软件设计,编写程序。 第7-8天:实验室调试。 第9-10天:撰写课程设计报告。要求容完整、图表清晰、文理流畅、格式规、方案合理、设计正确,篇幅不少于6000字。 主要参考资料[1] 迎新.单片微型计算机原理、应用及接口技术(第2版)[M].:国防工 业,2004 [2] 熊志奇.微机自动配料控制系统[J].电子技术应用,1997,(10):30-32 [3] 周建洪.自动配料控制系统的研制[J].工矿自动化,2003,(06):27-29 [4] 庆彬,毕丽红,王铸.工业自动配料系统的精度分析[J].自动化技术与 应用,2005,(05):79-81 [5] 中国电子网.21IC. 审查意见 系(教研室)主任签字:年月日

plc实验_全自动洗衣机控制

全自动洗衣机控制 1、设计任务和目的 通过理论设计和实物制作解决相应的实际问题,巩固和运用在《电气控制与可编程控制器技术》中所学的理论知识和实验技能,掌握PLC可编程软件的使用,程序的一般设计方法,提高设计能力和实践动手能力,为以后从事自动化设计、研发自动化产品打下良好的基础。 2、设计要求 全自动洗衣机的洗衣桶和脱水桶是以同一中心安放的。外桶固定,作盛水用。桶可以旋转,作脱水用。桶的四周有很多小孔,使外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现,此时脱水桶并不旋转。脱水时,通过电控系统将离合器合上,由洗涤电动机带动桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 3、控制要求 PLC投入运行,系统处于初始状态,准备好启动。 (1)按下启动按扭及水位选择开关,开始进水,水满(即水位到达高低)时停止进水。(2) 2秒后开始洗涤。 (3)洗涤时,正转15秒后暂停,暂停3秒后开始反转洗涤,反转洗涤15秒后暂停,暂停3秒。 (4)如此循环3次后开始排水,排空后(水位下降到低位)开始脱水并继续排水。脱水10秒即完成一次从进水到脱水的工作循环过程。(5)若未完成3次大循环,则返回从进水开始的全部动作,进行下一次大循环;若完成了3次大循环,则进行洗完报警。(6)报警10秒结束全部过程,自动停机。 (7)此外按排水按钮可实现手动排水;按停车按扭可停止进水、排水、脱水及报警。 4、实验容 4.1全自动洗衣机的工作原理 洗衣机的进水、排水分别由进水电磁阀和排水电磁阀执行。洗涤正转、反转由洗涤

全自动洗衣机单片机课程设计

全自动洗衣机单片机课 程设计 Document number【980KGB-6898YT-769T8CB-246UT-18GG08】

一、设计目标 1、全自动洗衣机 用51系列单片机89C51控制全自动洗衣机的运行,使其能自动地完成进水、洗涤、漂洗、脱水等功能。不同的衣物,洗涤、漂洗、脱水和洗衣电机正反转所用的时间不同,要求设计能够实现过程选择,并在LED显示屏上显示过程代码。在运行的时候能显示完成整个过程的剩余时间。 2、洗衣机主要功能:进水、洗涤、脱水、排水 具体功能有浸泡、强力洗、轻柔洗、标准洗、快速洗、单独洗、单独脱水、漂洗脱水 二、设计过程 洗衣机要实现衣服的洗涤、漂洗和脱水,离不开进水、电机正转、电机反转和排水这四个动作。上述四个动作,是通过单片机的P0端口,做输出端口,去控制双向可控硅通断来实现的,如下图所示。同时加上输入开关的按钮、数码管显示器、蜂鸣按警器和欠压检测保护电路等,就可以形成完整的单片机控制系统。通过软件编程达到对整个洗衣过程进行控制、检测以及与用户交互。 三、设计结果 (1)硬件部分 1、电路图

2、PCB版图

(2)、汇编语言 洗衣机的一次洗衣过程控制过程主要为顺序控制,如先进水、洗涤(电机正转反转)、再排水脱水。将把脱水、洗涤、进水单独编为一个子程序,由主程序根据过程选择,不断调用,可以减少源程序的长度,不同的洗衣过程,三大动作的时间不同,这可以通过建立数据表格,通过查表的方式获得每个洗衣过程所需时间。此外,还需编出显示子程序,延时子程序供主程序不断调用。 1、流程图 (1)、洗衣机控制系统主程序流程图

基于单片机的洗衣机控制面板设计毕业设计

基于单片机的洗衣机控制面板设计毕业设计 目录 1 绪论 ............................................................................................ 错误!未定义书签。 1.1课题开发的背景 ................................................................. 错误!未定义书签。1.2目的意义. (3) 1.3国内外发展状况 .......................................................... 错误!未定义书签。 1.4洗衣机控制面板设计任务及技术要求 ............................. 错误!未定义书签。 2 系统概述 (4) 2.1系统设计要求 (4) 2.2系统构成部件 (4) 3 方案设计与比较...................................................................... 错误!未定义书签。 3.1显示模块的选择................................................................. 错误!未定义书签。3.2单片机的选择 . (6) 4系统硬件电路的设计 (8) 4.1单片机控制部分——单片机AT89S52 (8) 4.2单片机时钟信号 (11) 4.3显示模块 (12) 4.4键盘部分 (17) 5系统程序的设计 (21) 5.1 主程序 (21) 5.2延时子程序 (22) 5.3查键子程序 (22) 6 系统调试与性能分析 6.1 硬件调试 (23) 6.2 软件调试 (23) 6.3 性能分析 (23) 结论 (24) 参考文献 (25) 附录1:源程序 ............................................................................. 错误!未定义书签。

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

基于单片机的洗衣机设计

单片机原理及系统课程设计 专业:自动控制 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2014年 1 月12日

基于AT89C51单片机的全自动洗衣机设计 1 设计目的及要求 1.1设计目的 洗衣机已成为人们日常生活中必不可少的一部分,但是传统的基于继电器的控制,已不能满足人们对洗衣机的要求。因此设计了基于单片机的洗衣机控制电路系统,由单片机控制实现洗衣机的各项功能。单片机的体积小,控制功能灵活,因此,设计出基于单片机的全自动洗衣机控制电路系统具有很强的实用性。由于个人能力有限,所设计的洗衣机比前沿科技产品要差很远。所以这次课程设计的主要目的在于通过亲手操作,查找资料,培养自己的分析设计能力,把这学期课程中零散的知识进行整合,将理论的知识联系到实际的生活中,在实例中深入理解一些理论知识,并从中有所收获。 1.2设计要求 模拟全自动洗衣机工作过程。以电机替代洗衣机电机。显示洗衣机工作的状态(进水、浸泡、洗衣、脱水、结束)。显示工作剩余时间(洗衣程序可自定义,时间精度:秒)。洗衣时交替正、反转。 2 设计方案及原理 2.1设计方案 利用AT89C51单片机的P0,P1,P2,P3串行口的输入输出功能,控制数码管、电动机、发光二极管的工作状态,进而模拟洗衣机的基本工作过程。 洗衣机的主要工作过程是:进水—洗涤—漂洗—换水—漂洗—换水—漂洗—换水—脱水—结束。上述工作过程中,包含三个过程:洗涤过程、漂洗过程、脱水过程。 (1) 洗涤过程:放好待洗物,启动开关,进水阀通电,向洗衣机供水,供水结束后,洗涤电动机接通电源,通过电机不停的正转、反转,形成洗涤水对洗涤物产生强烈的翻滚作用;同时衣物之间、衣物与四周桶壁之间产生互相摩擦和撞击力,达到洗涤衣物的目的。 (2) 漂洗过程:漂洗的目的在于清除衣物上的洗涤液,因此,漂洗过程与洗涤过程的电机动作是完全相同的。 (3) 脱水过程:漂洗过程结束后,电动机停止转动,排水阀通电,打开排水阀门排水。当排水到一定程度,满足安全条件时,脱水电动机接通,电机带动脱水桶高速旋转,利用离心力把衣服上的水从桶壁的小眼里甩出。

基于单片机 洗衣机的设计

基于单片机的洗衣机设计 摘要 洗衣机是人们日常生活中常见的一种家电,已经成为人们生活中不可缺少的家用电器。在工业生产中应用也十分广泛。但是传统的基于继电器的控制,已经不能满足人们对洗衣机的自动化程度的要求了。随着单片机技术的发展,用单片机来作为控制器,能更好的控制洗衣机,并且控制方式灵活多样,控制模式可以根据不同场合的应用而有所不同。单片机以微小的体积和编程的灵活性而产生多种控制功能,完全可以满足设计的需求。本系统采用AT89C51单片机作为控制系统,设计目的主要是为了熟悉单片机系统设计的基本方法并实现基本的洗衣机功能。系统的控制面板主要由按键开关组成,按键选择洗衣机工作模式,并且可以根据需要调整洗涤时间长短。LED液晶显示器则显示洗衣机的工作模式和洗涤时间。洗衣机的整体电路模块包括按键矩阵、液晶显示、电机及驱动电路。控制程序设计包括定时中断服务程序、时间设定程序、外中断服务程序及主程序。整体来说系统设计基本达到设计目标。 关键词:单片机控制洗衣机液晶屏电机

Abstract Washing machine is the People's Daily life common kind of home appliance, life has become a indispensable household electrical appliances. In the industrial production is also widely used. But the traditional relay-based control, cannot have satisfied people to the requirements of the automation degree of the washing machine. Along with the development of the single chip microcomputer by using single chip processor to as a controller, and can better control washing machines, and to control the way agile diversity, control mode according to different situation the application can be different. With a small volume and microcontroller programming flexibility and produce DuoZhong control function, and can meet the design requirements. The system USES AT89C51 single chip microcomputer as control system, the design purpose mainly to familiar with single-chip microcomputer system the basic method to design and realize the basic function of the washing machine. The system control panel composed mainly by button switches, key choice washing machine work mode, and may, according to needs to adjust the washing time length. LED LCD display of the washing machine is working mode and washing time. The washing machine of the whole circuit module includes key matrix, liquid crystal display, motor and power circuit. Control program design including timing interrupt service procedure, time setting process, and outside the interrupt service

基于51单片机的洗衣机控制系统设计

基于MCS-51单片机的洗衣机控制系统设计单片机技术日新月异的发展,单片机以其集成度高、运算速度快、体积小、运行可靠、价格低廉等特点在过程控制、机电一体化、智能化仪表、家用电器等方面得到了广泛应用。本文采用MCS一51单片机作为洗衣机控制系统的核心,硬件线路及控制程序的设计是该系统的重要组成部分。硬件线路设计主要包括电源、功能及控制系统、洗衣机状态显示、输出控制电路的设计。控制程序设计主要包括主程序、内部定时中断服务程序、外部中断中断服务程序的设计。 一、洗衣机功能要求 洗衣机的主要工作程序是:洗涤--脱水--漂洗--脱水--漂洗--脱水。上述工作程序中,包含三个过程,洗涤过程、漂洗过程、脱水过程。 1、洗涤过程:放好待洗物,启动开关,进水阀通电,向洗衣机供水,当供水达到预定水位时,水位开关接通,进水阀断电关闭,停止供水。洗涤电动机接通电源,带动波轮(或桶)旋转,产生各种形式的水流搅动衣物进行洗涤。通过电动机不停的正转、停转、反转,反复循环,形成洗涤水对洗涤物产生强烈的翻滚作用,同时,衣物之间、衣物与四周桶壁之间产生互相摩擦和撞击力,以次达到洗涤衣物的目的。 2、漂洗过程:漂洗的目的在于清除衣物上的洗涤液,因此,漂洗过程与洗涤过程的电器动作是完全相同的。 3、脱水过程:洗涤或漂洗后,需要对衣物进行脱水以便晾干,节省水资源,所以脱水是洗衣过程中必不可少的环节。洗涤或漂洗过程结束后,电动机停止转动,排水阀通电,打开排水阀门排水。当水位低到一定程度时,满足安全条件,脱水电动机接通,电机带动脱水桶高速旋转,利用离心力把衣服上的水从桶壁的小眼里甩出。全部洗衣工作完成后,由蜂鸣器发出音响,表示衣物已洗干净。 二、洗衣机硬件电路设计 根据洗衣机的基本功能,硬件电路设计需要考虑:水流强度的问题、洗涤、漂洗、脱水时间设定长短的问题、工作时间或剩余时间f 显示、工作过程中的暂停、启动、复位、洗完后的

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

基于PLC的全自动洗衣机控制

山西职业技术学院电气工程与自动化系 毕业设计(论文)任务书 题目名称:基于PLC的全自动洗衣机 学生学号:1012100317 指导教师:****** 学生姓名:王堃学生专业:电气自动化 山西职业技术学院电气工程与自动化系 2012年12月 1日

基于PLC的全自动洗衣机控制 摘要 随着科学技术不断进步和社会飞速发展,洗衣机成为人民日常生活息息相关的家用电器产品。 传统洗衣机基于电器的控制,已经不能满足人们对其自动化程度的要求了。洗衣机要更好地满足人们的需求,必须借助于自动化技术的发展。自动化技术的飞速发展,使得洗衣机由最初的半自动式发展到现在的全自动式,并正在向智能化洗衣机方向发展。 洗衣机的全自动化、多功能化、智能化是其发展方向。基于全自动洗衣机的应用日益广泛,本次设计利用西门子公司生产的PLC控制全自动洗衣机,与传统的继电器逻辑控制系统相比较,洗衣机可靠性、节能性得到了提高。PLC控制不需要大量的活动部件和电子元器件,它的接线也大大减少,与此同时系统维修简单、维修时间缩短。 本文首先介绍了洗衣机的发展,然后重点介绍了洗衣机的设计,对程序流程图及编程软件进行了说明,最后对系统进行了仿真。PLC采样按钮及限位开关外部输入信号的变化,执行相应的程序,然后输出控制电机正反转及脱水处理,控制方式灵活多样。 最后就本课题所做的工作进行了总结,并对进一步的研究提出了自己的看法。本次设计的全自动洗衣机工艺要求有待改善,不可以单独脱水及洗衣时间的设置;由于时间有限,没做进一步的改善。基于全自动洗衣机在日常生活中广泛运用,本设计具有广泛的推广价值。 关键词:全自动洗衣机, PLC, 控制

基于单片机的全自动洗衣机课程设计报告书

目录 摘要.............................................................. 错误!未定义书签。Abstract .............................................................. 错误!未定义书签。1机械结构.. (1) 1.1.1外箱体 (1) 1.1.2弹性支承结构 (2) 1.1.3面框 (3) 1.2洗涤脱水系统 (3) 1.2.1盛水桶 (3) 1.2.2洗涤脱水桶 (4) 1.2.3波轮 (5) 1.3.传动系统 (5) 1.3.1电动机 (5) 1.3.2离合器 (6) 1.3.3电容器 (7) 1.3.4电动排水牵引器 (8) 1.4进水、排水系统 (9) 1.4.1进水电磁阀 (9) 1.4.2水位开关 (10) 1.4.3排水电磁阀 (11) 二、系统原理图: (13) 2.1 单片机的复位电路 (13) 2.1 单片机的复位电路 (14) 2.2 单片机的时钟电路 (15) 2.3 蜂鸣器报警电路 (15) 2.4 电动机的控制电路 (16) 2.4.1继电器的作用 (16) 2.4.2电动机控制电路的工作过程 (16) 2.5 进水/排水电路 (17) 2.5.1电动式排水牵引器 (17) 2.5.2排水电路工作原理 (17) 2.5.3进水电磁阀 (17) 2.5.4进水水电路工作原理 (18) 2.6开关复位电路 (19) 2.7 设置/开始、暂停电路 (21) 2.8 状态显示电路 (21) 2.9 甩干时开盖暂停电路 (22) 2.10 PCB板 (23) 三、洗衣机程序 (25) 3.1主要容 (25) 3.2主要功能 (25) 3.3程序介绍 (26) 四.调试过程 (38) 4.1硬件设置 (38) 4.2程序调试 (40)

基于单片机的全自动洗衣机控制系统

诚信申明 本人申明: 我所呈交的本科毕业设计(论文)是本人在导师指导下对四年专业知识而进行的研究工作及全面的总结。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中创新处不包含其他人已经发表或撰写过的研究成果,也不包含为获得北京化工大学或其它教育机构的学位或证书而已经使用过的材料。与我一同完成毕业设计(论文)的同学对本课题所做的任何贡献均已在文中做了明确的说明并表示了谢意。 若有不实之处,本人承担一切相关责任。 本人签名:年月日基于单片机的全自动洗衣机控制系统 刘少谦 电子信息工程专业信工0706班学号0 指导教师鲁小利讲师 摘要 本文详细介绍了国内洗衣机控制系统的发展现状,发展中所面临的问题。从产品质量、性能及应用方面看洗衣机机的差距;整个行业看国产洗衣机机所存在的问题以及它的发展趋势。同时也详尽的介绍了此次设计中最重要的组成部件单片机的概念、工作原理及设备总体结构,其中包括MCS-51的发展历程,选型依据。设计了一种基于单片机MCS-51的洗衣机机,介绍了所选用的8051、8255等单片机。 关键词:洗衣机单片机电动机

Microcontroller Based Control System for Automatic Washing Machine Abstract This article introduced in detail the domestic washer control system's development present situation, in the development faces question. From the product quality, the performance and the application aspect look at washer's machine disparity; The entire profession looked the domestically produced washer machine exists question as well as its trend of development. Simultaneously also in exhaustive introduction this design most important building block monolithic integrated circuit's concept, principle of work and equipment gross structure, including MCS-51 the development process, shaping basis. Has designed one kind based on the monolithic integrated circuit MCS-51 washer machine, introduced selects 8051, 8255 and so on monolithic integrated circuits. keyword: Washer Monolithic Integrated Circuit Electric Motor

Verilog数字电子技术-洗衣机控制器

2010学年度第一学期 电子技术基础课程设计 ――智能洗衣机控制器 控制科学与工程系 自动化 1006 班 ******* ******** 院 系: 专 业: 班 级: 姓 名: 学 号: 指导教师:

课程设计名称 智能洗衣机控制器的设计 设计内容及要求 ①设计一个智能洗衣机控制器,能够实现洗衣,漂洗和脱水的功能。 ②要求能够使用按键模拟对洗衣机的控制,能够设置工作模式,为了便于观察,将洗衣机设定的工作模式(1~5)和整个过程所剩的工作时间用数码管显示出来(时间分辨率为 1 分钟),能够将洗衣 机当前所处的状态(注水,洗衣,排水,甩干)用发光管或者数码管显示出来。 模式1 】:洗衣模式--强力洗(洗衣30 分钟) 模式 2 】 :洗衣模式--普通洗(洗衣20 分钟) 模式3】:洗衣模式--轻柔洗(洗衣10 分钟) 模式 4 】 :漂洗模式 模式 5 】 :甩干模式 注:在以上 5 个模式中,每次注水 1 分钟,漂洗 5 分钟,排水 1 分钟,甩干1分钟,模式1?3的洗衣时间如上所示,具体的洗衣步骤如下: 【模式 1 ?3】:注水->洗衣->排水->甩干->注水->漂洗->排水->甩干

-> 注水-> 漂洗-> 排水-> 甩干。 【模式4】:注水-> 漂洗-> 排水-> 甩干-> 注水-> 漂洗-> 排水-> 甩 干。 【模式 5 】:甩干。【要求】:实现逻辑控制过程,可以选择性的加入注水口无水报警等人性化的状态提示,操作完毕使用蜂鸣器鸣叫两秒提示。 ③画出洗衣机控制器的状态机,写出状态编码方案。 ④用Verilog 语言对设计进行描述,设计一个测试方案,并能够下载到实验板上调试成功。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。并谈谈此次实验的收获、感想及建议 系统设计 1 系统框图与说明本次设计的重点在于智能控制器状态之间转换的条 件和关系, 并且在此基础上输出相应的显示

全自动洗衣机控制设计

北京工业大学 课程设计说明书 题目:全自动洗衣机控制设计 学院:电子信息与控制工程学院 专业:自动化 学号: 姓名:指导教师:张会清刘红云 成绩: 年月

目录 一.课程设计题目………………………………………——全自动洗衣机控制的设计及组态……………………二.课程设计目的………………………………………——天工组态软件调试与设计……………………………三.课程设计任务……………………………………… 四、课程设计地点及设备……………………………… 五、课程设计整体方案………………………………… 六、系统设计…………………………………………… (一)硬件接线、控制程序设计与调试……………… (二)上位机组态软件设计………………………………… (三)下位机设计与调试…………………………………… .控制要求…………………………………………… 地址表……………………………………………… 接线图……………………………………………… .程序流程图…………………………………………… .梯形图………………………………………………… .设计说明………………………………………………… .调试过程………………………………………………… 七、总结及感想…………………………………………… 八、参考资料………………………………………………

一.课程设计题目——全自动洗衣机控制的设计及组态现在,全自动洗衣机已经进入了千家万户之中,极大的方便了人们的日常生活,提高了人们的生活质量,使人们从那繁重的体力劳动中解脱出来。所谓全自动洗衣机,就是将洗衣的全过程(泡浸洗涤漂洗脱水)预先设定好个程序,洗衣时选择其中一个程序,打开水龙头和启动洗衣机开关后洗衣的全过程就会自动完成,洗衣完成时由扬声器发出响声。本文是基于三菱系列的全自动洗衣机梯形图系统的设计,设计完善的全自动洗衣机控制系统,以满足控制要求,实现洗衣自动化的控制。 二、课程设计目的: 在先修课程《现代电气控制技术》中可编程控制器部分学习与实验的基础上,通过松下系列对全自动洗衣机洗涤过程进行控制的编程设计与调试,进一步熟悉并掌握的工作原理,了解控制对象的工艺流程和技术要求, 运用所学知识进行系统设计,初步掌握控制系统设计的基本方法,培养灵活运用专业知识解决工程技术问题的能力。通过使用天工组态软件,掌握组态设计的方法及调试方面的知识。 三.课程设计任务: .用实现全自动洗衣机运行控制,完成框图及梯形图控制程序的编制,并画出硬件接线图,进行软硬件的联调,并用组态软件进行监控。 .具体动作过程要求如下: ()按下启动按扭及水位选择开关,开始进水,直到高(中、低)水位,然后关水; ()秒后开始洗涤; ()洗涤时,正转秒,停秒,然后反转秒,停秒; ()如此循环次,总共秒后开始排水,排空后脱水秒; ()开始清洗,重复()~(),清洗两遍; ()清洗完成,报警秒并自动停机; ()若按下停车按扭,可手动排水(不脱水)和手动脱水(不计数)。

基于单片机的洗衣机设计

. 单片机原理及系统课程设计 专业:自动控制 班级: 姓名: 学号: 指导教师: 交通大学自动化与电气工程学院 2014年1 月12日

基于AT89C51单片机的全自动洗衣机设计 1 设计目的及要求 1.1设计目的 洗衣机已成为人们日常生活中必不可少的一部分,但是传统的基于继电器的控制,已不能满足人们对洗衣机的要求。因此设计了基于单片机的洗衣机控制电路系统,由单片机控制实现洗衣机的各项功能。单片机的体积小,控制功能灵活,因此,设计出基于单片机的全自动洗衣机控制电路系统具有很强的实用性。由于个人能力有限,所设计的洗衣机比前沿科技产品要差很远。所以这次课程设计的主要目的在于通过亲手操作,查找资料,培养自己的分析设计能力,把这学期课程中零散的知识进行整合,将理论的知识联系到实际的生活中,在实例中深入理解一些理论知识,并从中有所收获。 1.2设计要求 模拟全自动洗衣机工作过程。以电机替代洗衣机电机。显示洗衣机工作的状态(进水、浸泡、洗衣、脱水、结束)。显示工作剩余时间(洗衣程序可自定义,时间精度:秒)。洗衣时交替正、反转。 2 设计方案及原理 2.1设计方案 利用AT89C51单片机的P0,P1,P2,P3串行口的输入输出功能,控制数码管、电动机、发光二极管的工作状态,进而模拟洗衣机的基本工作过程。 洗衣机的主要工作过程是:进水—洗涤—漂洗—换水—漂洗—换水—漂洗—换水—脱水—结束。上述工作过程中,包含三个过程:洗涤过程、漂洗过程、脱水过程。 (1) 洗涤过程:放好待洗物,启动开关,进水阀通电,向洗衣机供水,供水结束后,洗涤电动机接通电源,通过电机不停的正转、反转,形成洗涤水对洗涤物产生强烈的翻滚作用;同时衣物之间、衣物与四周桶壁之间产生互相摩擦和撞击力,达到洗涤衣物的目的。 (2) 漂洗过程:漂洗的目的在于清除衣物上的洗涤液,因此,漂洗过程与洗涤过程的电机动作是完全相同的。

51单片机自动洗衣机控制系统

项目一 洗衣机控制 一、项目内容及要求。 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。 要求: ⑴画出控制系统的框图,说明系统方案设计的思路、理由或依据; ⑵选择、确定组成控制系统的各个单元,并阐述选择确定的原则或依据; ⑶画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; ⑷如采用单片机控制,给出单片机程序的流程图和清单,说明程序的工作原理。 ⑸制作实物电路,验证设计、制作是否正确。

二、题目分析及设计思路。 1.技术要点: ①要对自动洗衣机的整个流程要清楚,要清楚哪些步骤比较重要。对于洗衣机优先级最高的应该是暂停这个功能。因为一旦当洗衣机盖子被打开或者发生什么意外情况,洗衣机必须马上停止工作,这也是出于对安全问题的考虑。 ②洗衣机在洗衣的过程中波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止。当到了设定的时间以后洗衣机便要进入下一个的洗衣流程。 ③设置洗衣机时间和水位必须要显示结果,方便用户查看。 ④单片机程序中的设计洗剂时间这些要做到比较精确,这样才能保证洗衣机能将衣服洗的比较干净。 ⑤水位设置的处理,因为考虑到身边没有水位传感器,这里我用限时的方法来完成低、中、高三个水位的设置。 ⑥进水和出水的电磁阀我这里都是用LED灯显示来代替了,进水和出水我会将对应的LED点亮来表示进水和出水。 三、方案设计说明。 本系统实现了对洗衣机整个洗衣过程的控制,包括用户参数输入、洗衣、排水和脱水等阶段。控制系统主要由电源模块、单片机控制系统和外部硬件电路构成。电源采用三端集成固定稳压器7805提供+5V

全自动洗衣机的PLC控制

课题二全自动洗衣机的PLC控制 一、概述 洗衣机的应用现在比较普遍。全自动洗衣机的实物示意图如图所示。 全自动洗衣机的洗衣桶(外桶)和脱水桶(内桶)是以同一中心安放的。外桶固定.作盛水用。内桶可以旋转.作脱水(甩水)用。内桶的四周有很多小孔.使内外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。进水时.通过电控系统使进水阀打开.经进水管将水注入到外桶。排水时.通过电控系统使排水阀打开.将水由外桶排出到机外。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现.此时脱水桶并不旋转。脱水时.通过电控系统将离合器合上.由洗涤电动机带动内桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 二、设计任务和要求 该全自动洗衣机的要求可以用流程图来表示。 PLC投入运行.系统处于初始状态.准备好启动。启动时开始进水.水满(即水位到达高水位)时停止进水并开始正转洗涤。正转洗涤15 s后暂停.暂停3 s后开始反转洗涤。反转洗涤15s 后暂停.暂停3 s后.若正、反洗涤未满3次.则返回从正转洗涤开始的动作;若正、反洗涤满3次时.则开始排水。排水水位若下降到低位时.开始脱水并继续排水。脱水10s即完成一次从进水到脱水的工作循环过程。若未完成3次大循环.则返回从进水开始的全部动作.进行下一次大循环;若完成了3次大循环.则进行洗完报警。报警10s结束全部过程.自动停机。’此外.还要求可以按排水按钮以实现手动排水;按停止按钮以实现搬运.停止进水、排水、脱水及报警。

三、设计方案提示 1.I/O地址 输入输出 :启动按钮:进水电磁阀 Xl:停止按钮:电动机正转接触器 :排水按钮:电动机反转接触器 :高水位开关:排水电磁阀 :低水位开关:脱水电磁阀 :报警蜂鸣器 2.方案提示 ①用基本指令、定时指令和计数指令组合起来设计该控制程序。 ②用步控指令实现该控制。

单片机课程设计(洗衣机控制系统)

单片机课程设计(洗衣机控制系统)

东北石油大学 课程设计 课程单片机课程设计 题目洗衣机控制系统设计 院系电气信息工程学院 专业班级测控14-1班 学生姓名孔锡达 学生学号 140601240115 指导教师路敬祎(副教授)赵志华(副教授) 2017年7 月28日

任务书 课程单片机课程设计 题目洗衣机控制系统设计 专业测控14-1班姓名孔锡达学号140601240115 主要内容: 本课题针对洗衣机控制系统的发展现状以及当今社会发展对于产品智能化的要求,设计一种基于单片机微处理器的控制系统。要求具有较高的可靠性和实用性,实现智能化控制。本文以单片机为控制器核心设计控制系统,使洗衣机可处于自动模式依次进行进水、洗涤、排水、脱水四个过程;也可处于手动模式通过按键进行洗涤、排水、脱水三个过程的选择,并且处于何种模式,何种过程能够通过指示灯显示。 基本要求: 1、分析洗衣机控制系统设计各种方案的优缺点,说明本设计方案选用原则; 2、阐述本设计方案的基本工作原理、分析并注明元器件选取参数; 3、绘制并分析洗衣机控制系统硬件设计原理图及电路图; 4、设计完整的程序框图和程序清单; 参考文献: [1]余永权.单片机在控制系统中的应用[M].北京电子工业出版社,2003: 23-78. [2]冯先成.单片机应用系统设计[M].北京航空航天大学出版社,2009: 56-98. [3]元增民.模拟电子技术[M].北京:中国电力出版社,2009:35-97. [4]万光毅,严义,邢春香.单片机实验与实践教程[M].北京:北京航空航

天大学,2006:23-110. 完成期限2017.7.17—2017.7.28 指导教师 专业负责人 2017年7 月16日

简单洗衣机控制基于单片机

1.用单片机控制洗衣机 通信1411 于波 2.项目名称:项目九:洗衣机控制 3.项目内容及要求; 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。要求: 1.画出控制系统的框图,说明系统方案设计的思路、理由或依据; 2.选择、确定组成控制系统的各个单元,并阐述选择确定的原则或 依据; 3.画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; 4.如采用单片机控制,给出单片机程序的流程图和清单,说明程序 的工作原理。 5.制作实物电路,验证设计、制作是否正确。 4.题目分析及设计思路;(弄清楚要完成设计的技术要点、关键是什 么,有哪些需要注意的事项,可以采用哪些方法和手段,有哪些可用的方案或电路形式,等等。)

要完成本项目设计的技术要点是对洗衣机的整个运行流程深刻理解之后,再用程序将他表现出来,说以关键就在于程序的编写。需要注意的是运动执行器是用指示灯模拟,水位用开关模拟,还要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调所以大概就要用到6个发光二极管和8个复位按键,因此就要对整个流程控制的逻辑顺序有个很好的设计。对于程序的编写可以采用单片机或PLC (就目前所学而言),而对于这样一个简单的小程序就采用单片机即可。 5. 方案设计说明;(在充分调研、思考的基础上确定电路方案并说明 理由。画出电路的系统框图,说明系统框图的原理。) 一开始看到这个题目我就打算使用单片机来完成本设计,因为单片机使用方便,只需一个最小系统即可,在宿舍就可完成对程序的编写和修改,而PLC 价格昂贵且不能随时可用。就本项目采用单片机而言需要一个单片机最小系统,思维数码管及驱动,一组LED 和按键。 系统框图: 通过对八个按键的操作,可对水位设置,洗衣时间设置,开始洗衣,水位确定。四位数码管可显示水位的设置值,洗衣时间设置值,还有洗衣时间计时等。六个LED 有两个表示洗衣机波轮的正反转,两 MCU (AT89C51) 四位数码管 八 个 控 制 按 键 六个LED 指示灯

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

相关文档
最新文档