电子秒表计时电路的设计

电子秒表计时电路的设计
电子秒表计时电路的设计

电子秒表的设计

摘要:目前数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,

电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能强,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会,通过这种综合性训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。

本次毕业设计的题目是电子秒表.电子秒表是用于测量较短且较精确的时间,它在体育运动项目上有着广泛的应用.本次设计中应用了多种数字电路中的单元电路,如基本RS触发器、单稳态触发器、时钟发生器及计数器,译码显示器等.我相信通过本次实验,将进一步加深对各数字单元电路的理解,同时也对基础电子设计有一定的了解,为今后的学习和工作打下一定的基础。

关键词:电子秒表;基本RS触发器;单稳态触发器;时钟发生器;计数器;译码显示器

The Design of Digital Stopwatch

Abstract: Current digital electronics technology has been widely used in computers, automatic control, electronic measuring instruments, TV, radar, communications and other fields. For example, in the modern measurement technology, digital measuring instrument is not only accurate than analog gauges, powerful, and easy measurement of automation and intelligence. With the integration of technology, particularly in large-scale and ultra large scale integrated circuit development, application of digital electronic technology will be more widely infiltrated into all sectors of the national economy, and will have more profound impact. With modern society, the rapid development of electronic technology, requires us to integrate theory with practice, digital circuit design the project so that we have this great opportunity, through this comprehensive training, our ability, practical skills, ability of comprehensive knowledge applications get better promotion.

The course design is the subject of electronic stopwatch. Stopwatch is a shorter and more accurate for measuring time, it projects in sports has been widely used. The design of the application of a variety of digital circuit elements in the circuit, such as basic RS flip-flop, one-shot, the clock generator and counter, decoder display. I believe that through this experiment, will further deepen the understanding of the digital cell circuits, but also on the basis of a certain electronic design understanding for future study and work to lay a certain foundation.

Keywords: electronic stopwatch, the basic RS flip-flop, one-shot, the clock generator, counters, decoding display

目录

1 电子秒表介绍................................... 错误!未定义书签。

2 电子秒表功能要求及元器件介绍 (3)

2.1电子秒表基本功能 (3)

2.2 元器件介绍................................. 错误!未定义书签。

2.2.1 74LS00与非门......................... 错误!未定义书签。

2.2.2 基本RS触发器......................... 错误!未定义书签。

2.2.3 555定时器............................ 错误!未定义书签。

2.2.4 555多谐振荡器........................ 错误!未定义书签。

2.2.5 74LS90计数器......................... 错误!未定义书签。

2.2.6 单稳态触发器 (10)

3 电路设计....................................... 错误!未定义书签。

3.1电路设计方案................................ 错误!未定义书签。

3.1.1 工作原理.............................. 错误!未定义书签。

3.1.2计数器工作波形图...................... 错误!未定义书签。

3.2 核心电路设计............................... 错误!未定义书签。

3.2.1 计数器设计............................ 错误!未定义书签。

3.2.2 主控电路设计.......................... 错误!未定义书签。

4 PCB板的制作 ................................... 错误!未定义书签。

4.1 PCB板设计的设计原则[7]...................... 错误!未定义书签。

4.1.1 布局................................. 错误!未定义书签。

4.1.2 布线................................. 错误!未定义书签。

4.1.3 焊盘................................. 错误!未定义书签。

4.2 PCB板电路抗干扰措施........................ 错误!未定义书签。

4.2.1 电源线设计........................... 错误!未定义书签。

4.2.2 地线设计............................. 错误!未定义书签。

4.3 制板..................................... 错误!未定义书签。

4.4 焊接的基本常知识.......................... 错误!未定义书签。

4.5 元器件的安装............................... 错误!未定义书签。

5 系统测试..................................... 错误!未定义书签。

5.1 基本RS触发器的调试........................ 错误!未定义书签。

5.2 555多谐振荡器的调试........................ 错误!未定义书签。

5.3 计数器的调试............................... 错误!未定义书签。

5.4 译码器的调试 (20)

5.5 电子秒表的整体调试......................... 错误!未定义书签。

5.6系统的功能.................................. 错误!未定义书签。

5.7.1 设计小结.............................. 错误!未定义书签。

5.7.2 设计收获及体会........................ 错误!未定义书签。

5.7.3 改善设计的建议........................ 错误!未定义书签。

参考文献......................................... 错误!未定义书签。致谢............................................. 错误!未定义书签。附录1电子秒表装配图............................. 错误!未定义书签。附录2电子秒表PCB版图........................... 错误!未定义书签。附录3电子秒表实验原理图......................... 错误!未定义书签。附录4电子秒表电路板实图......................... 错误!未定义书签。

1 电子秒表介绍

电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,

它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s 的功能。一般的电子秒表连续累计时间为59min 59.99s,可读到1/l00s,平均日差±0.5s。

电子秒表配有三个按钮,如图所示。图中为秒表按钮,为功能变换按钮,为调整按钮,基本显示的计时状态为“时”、“分”、“秒”。

电子秒表的基本使用方法如下:

(1) 在计时器显示的情况下,将按钮按住2s,即可出现秒表功能,如图(a)所示。按一下按钮开始自动计秒,再按一下按钮,停止计秒,显示出所计数据,如图(b)所示。按住两秒,则自动复零,即恢复到图(a)所示状态。

图1.1电子秒表

(2) 若要纪录甲、乙两物体同时出发,但不同时到达终点的运动,可采用双计时功能方式。即首先按住两秒钟,秒表出现如图(a)所示的状态。然后按一下,秒表开始自动计秒。待甲物体到达终点时再按一下,则显示甲物体的计秒数停止,此时液晶屏上的冒号仍在闪动,内部电路仍在继续为乙物体累积计秒。把甲物体的时间记录下后,再按一下,显示出乙物体的累积计数。待乙物体到达终点时,再按一下,冒号不闪动,显示出乙物体的时间。这时若要再次测量就按住两秒,秒表出现 (a)所示的状态。若需要恢复正常计时显示,可按一下,秒表就进入正常计时显示状态,在图(c)中显示出9h 17min 18s。(3) 若需要进行时刻的校正与调整,可先持续按往,待显示时、分、秒的计秒数字闪动时,松开,然后间断地按,直到显示出所需要调整的正确秒数时为止。如还需校正分,可按一

下,此时,显示分的数字闪动,再间断地按,直到显示出所需的正确分数时为止。时、日、月及星期的调整方法同上。

2 电子秒表功能要求及元器件介绍

2.1电子秒表基本功能

电子秒表通过十分巧妙的设计仅用8块数字芯片便实现了其计数功能。结构简单成本低廉。电子秒表的显示是由2位LED数码管组成,能够显示0S-9.9S。当需要计时的时候只需要按下计时按钮就可以计时,当需要暂停与清零时也可以通过控制按钮就可以实现。

2.2 元器件介绍

2.2.1 74LS00与非门

(一)电路组成

与非门74LS00是一种四2输入的与非门,其内部有四个两输入端的与非门,其电路图和引脚及其真值表如图2.1所示

在图2.1中,引脚7和14分别接地(GND)和电源(+5V左右)。

图2.1 74LS00与非门电路图和引脚以及真值表

(二)工作原理[1]

1.输入有低电平时设输入端A为低电平0.35V,B为高电平3.4V。由图

2.2可知,与A端相连的肖特基二极管VD3正偏,流过R1的IR1通过VD3流入A 输入端。假设UVD3=0.35,则UB2=0.7V,三极管V2、V5、V6截止,UC2约为5V 左右。V3和V4导通,输出高电平UOH=UC2-UBE3-UBE4=5-0.7-0.7=

3.6V。考虑到

的基极也有一定的电流,在R2上会产R4上存在着电压,应产生一定的电流,V

3

生一定的压降,实际的输出高电平约为3.4V。在此状态下,输出管V5截止,故

称截止状态或关门状态。此时,V3和V4导通,从输出端看进去的等效电阻是很

R1 20k R2

8k

R5

120k

R4

4k

R3

1.5k

R6

3k

VD1

VD5

VD6

VD4

VD3

VD2

V2

V6

V3

V4

V5

+VCC

A

B

Y

图2.2 74LS00与非门工作原理

小的,相当于射极跟随器的输出电阻。

2. 输入全为高电平时输入全为高电平

3.4V,VD

3、VD

4

截止,电源电压VCC

通过电阻R

1向V

2

注入基极驱动电流,使V

2

饱和,V

2

导通后,就向V

5

的基极注入

电流,使V

5管工作于抗饱和状态,故输出低电平U

OL

=0.35V。这时,UE

2

=0.7V,

UC

2=UE

2

+UCES

2

=1V,UC

2

这个电压不足以使V

3

和V

4

都导通,所以V

4

截止,输出端和电

源之间可看成开路,减少了电路功耗.此时,输出管V

5

饱和导通,故称为导通状态或开门状态。

由以上分析可知,当电路的任一输入端有低电平时,输出为高电平;当输入全为高电图平时,输出为低电平,即偶0或1,全1出0。电路输出与输入为与非逻辑关系,即 Y=AB

2.2.2 基本RS触发器

用集成与非门构成的基本RS触发器属于低电平直接触发的触发器,有直接置位和复位的功能。

本次设计所用的基本RS触发器构成图如图2.3

图2.3 基本RS 触发器结构图

基本RS 触发器的一路输出Q1作为单稳态触发器的输入,另一路输出Q2作为多谐振荡器输出端的控制信号。

R S Q1 Q2 1 0 0 1 0 1 1 0

1 1 保持 0 0

禁止

表2.1 基本RS 触发器的功能表

根据基本RS 触发器的功能表(表2.1)可知,当按动按钮开关S2到接地时,则门1的输出Q1=1(高电平),门2的输出Q2=0(低电平),S2复位后Q1、Q2的状态保持不变。再按动按钮开关S1,则Q2由0变为1,为计数器启动作好准备。Q1由1变为0,送出负脉冲,启动单稳态触发器工作。该基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。

2.2.3 555定时器[2]

555定时器(又称时基电路)是一个模拟与数字混合型的集成电路。按其工艺分双极型和CMOS 型两类,其应用非常广泛。之所以称为555,是因为该集成电路内部有三个5K Ω的分压电阻。图2.4是555定时器内部组成框图。它主要由两个高精度电压比较器A 1、 A 2,一个RS 触发 器,一个放电三级管和三个5K Ω电阻的分压器而构成。

Q1

Q2

1 脚:外接电源负端V SS 或接地,一般情况下接地。

2脚:TL 低触发端。 3脚:输出端Vo 。

1

2

3

4

4

3

2

1

D

C

B

A

5K 5K

5K

+

-

T

Q

&

&

Q

+

-

A A S

R

V 1

V 21

D

Q

V TH

TL

V R CC

V SS

1

2

8

4

5

6

3

7

1

2

C D

图2.4 555定时器内部组成框图

4脚:D R 是直接清零端。当D R 端接低电平,则时基电路不工作,此时不论TL 、

TH 处于何电平,时基电路输出为“0”,该端不用时应接高电平。 5脚:V C 为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。6脚:TH 高触发端。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。8脚:外接电源V CC ,双极型时基电路V CC 的范围是4.5 ~ 16V ,CMOS 型时基

电路V CC 的范围为3 ~ 18V 。一般用5V 。555定时器的功能见表2.2

清零端

D R

高触发端TH

低触发端

TL

Q n+

1

放电管T 功能

?

?

导通

直接清零 1 CC V 32

> CC V 31

> 0 导通 置0 1 CC V 32< CC V 31< 1 截止 置1 1

CC V 32<

CC V 31>

Q n

不变

保持

表2.2 555定时器的功能表

由于555定时器有着特定的功能,它可构成多种数字元件,例如555单稳态触发器、多谐振荡器、施密特触发器等,因此555定时器在电子技术领域得到了广泛的应用。

2.2.4 555多谐振荡器[3]

本次设计中所用的多谐振荡器是由555定时器构成,其电路图如图2.5

对于555多谐振荡器,当电源接通后,电容C被充电,VC上升,当VC上升到2/3VCC时,触发器被复位,同时放电BJT T 导通,此时V0为低电平,电容C 通过R2和T放电,使VC下降。当下降到1/3VCC时,

图2.5 555定时器电路图

触发器又被置位,V0翻转为高电平。电容器放电所需时间为:

TPL=R2Cln2=0.7R2C (2-1)当放电结束时,T截止,VCC将通过R1、R2向电容C充电,VC由1/3VCC 上升到2/3VCC所需的时间为:

TPH=(R1+R2)C ln2=0.7(R1+R2)C (2-2)当VCC上升到2/3VCC时,触发器又发生翻转,如此周而复始,在输出端就得到一个周期性的方波,其频率为:

F=1/(TPL+TPH)=1.43/(R1+2R2)C (2-3)在本次设计中,555多谐振荡器为计数器(1)提供频率为50HZ的矩形脉冲信号。

2.2.5 74LS90计数器

74LS90计数器是一种异步的二-五-十进制加法计数器,它既可以作为二进制的计数器,又可以作为五进制和十进制的加法计数器。在本次设计中它既被用来构成五进制计数器(1),又被用来构成十进制计数器(2)与计数器(3)。图2.6为74LS90的引脚排列图。

图2.6为74LS90的引脚排列图

通过不同的连接方式,74LS90可以实现4种不同的逻辑功能;而且还可以借助R0(1)、R0(2)对计数器清零,借助R9(1)、R9(2)将计数器置9,表2.3为其功能表。其具体功能如下:

(1)当计数器脉冲从CK1输入,QA作为输出端,为二进制计数器。

(2)当计数器脉冲从CK2输入,QD QC QB作为输出端,为异步五进制加法计数器。

(3)若将CK2和QD相连,计数脉冲由CP1输入,QA QB QC和QD作为

输出端,则构成异步8421码十进制加法计数器。

表2.3 74LS90计数器功能表[4]

(4) 若将CK1和QA 相连,计数脉冲由CP2输入,QA QB QC 和QD 作为 输出端,则构成异步5421码十进制加法计数器。

(5) 清零、置9功能。

a 异步清零:当R0(1)、R0(2)均为“1”,且R9(1)、R9(2) 中有“0”时,可以实现异步清零功能,即Q3Q2Q1Q0=0000。

b 置9功能:当S9(1)、S9(2)均为“1”,且R0(1)、R0(2) 中有“0”时,可以实现置9功能,即QAQBQCQD=1001。

输入

输出

功能

清0

置9

时钟

QD QC QB QA R0(1)R0(2) R9(1)R9(2) CKA CKB

1 1 0 X X 0 X X

0 0 0 0

清0

0 X X 0

1 1

X X

1 0 0 1

置9

0 X

X 0

0 X

X 0

↓ 1

QA 输出

二进制计数

1 ↓

QD QC QB 输出

五进制计数 ↓ QA QD QC QB QA 输出8421BCD 码

十进制计数 QD ↓ QD QC QB QA 输出5421BCD 码

十进制计数 1 1

不变

保持

74LS90计数器除了有以上功能外还有分频作用。因74LS90计数器可以构成二、五、十三种计数器,即可实现二分频、五分频和十分频。

2.2.6 单稳态触发器

图2.7为用集成与非门构成的微分型单稳态触发器,图2.8为各点波形图。

单稳态触发器的输入触发脉冲信号V1由基本RS触发器端提供,输出负脉冲V0通过非门加到计数器的清除端R。

静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻ROFF。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP和CP。

单稳态触发器在电子秒表中的职能是为计数器提供清零信号。

图2.7 单稳态触发器

图2.8 单稳态触发器各点波形图

3 电路设计

3.1电路设计方案

根据题目的要求,主要设计一个计数系统、译码驱动、数码显示系统、控制系统、一个稳压电源。其主要核心技术在于产生秒信号的计数脉冲与计数器之间的级联。其组成原理框图如图3.1所示,原理图见附录三。

3.1 电路组成原理框图 3.1.1 工作原理

电子秒表是由频率振荡源产生50HZ 的脉冲信号经控制端送入0.01S 位计数器开始计数,而0.01S 计数器位的构成是五进制计数器当其记满5个脉冲时自动归零,同时也会产生一个进位脉冲0.1S 位计数器0.1S 位就开始工作,而0.1S 位计数器的构成是十进制计数器当其记满10个脉冲自动归零,同时也会产生一个进位脉冲1S 位计数器1S 位就开始工作它的构成也是一个十进制计数器。当其记满后会自动归零。

3.1.2计数器工作波形图

计数器工作波形图[5]如图3.2所示。

数码管 数码管 数码管 译码驱动译码驱动译码驱动计数器

计数器

计数器控制端

率振荡

稳压电

图3.2 计数器工作波形图

3.2 核心电路设计

3.2.1 计数器设计

在这里74LS90计数器(1)接成五进制的形式所构成,它是用来将由多谐振荡器产生的50HZ的矩形方波进行计数。用来记录秒表的0.01S位。见图3.3[6]

图3.3计数器级联图

计数器(2)是由74LS90计数器接成十进制形式构成的,它是用来记录秒表的0.1S位,并用译码显示器来显示。由于计数器(2)是十进制,当其计数到9的时候,就会产生进位信号,而该进位信号就作为计数器(3)的输入信号。计数器(3)也是由74LS90计数器接成十进制形式构成的,只是它是作为秒表的高位计数单位,即最小计数单位为1秒,并用译码显示器来显示。当其计数到9的时候就会返回到0,此时计数器就会重新开始计数,秒表也会重新开始显示时间。

3.2.2 主控电路设计

(1)基本RS触发器与单稳态触发器之间的联接。

基本RS触发器通过其输出端的复位和置零来控制单稳态触发器是否工作。当其输出端Q1为高电平时,单稳态触发器不能被触发,即停止工作;当其输出端Q1由高电平变为低电平时,单稳态触发器能被触发,即开始工作。

(2)基本RS触发器与555多谐振荡器之间的联接。

由于多谐振荡器属于自激型的振荡器,不需要外界的触发信号就能产生矩形脉冲方波。基本RS触发器与多谐振荡器之间的联接是通过用一个与非门将两者的输出端相连,因此只有当基本RS触发器的输出为高电平时,与非门才能打开,此时多谐振荡器所产生的信号才能通过与非门输出去。在这里基本RS触发器就起到了控制多谐振荡器输出的作用。

4 PCB板的制作

4.1PCB板设计的设计原则[7]

4.1.1 布局

首先,要考虑电气要求、散热、封装尺寸等。在确定PCB尺寸后.再确定特殊元件的位置。最后,根据电路的功能单元,对电路的全部元器件进行布局。 [1] 尽可能缩短高频元器件之间的连线,设法减少它们的分布参数和相互间的电磁干扰。易受干扰的元器件不能相互挨得太近,输入和输出元件应尽量远离。

[2] 某些元器件或导线之间可能有较高的电位差,应加大它们之间的距离,以免放电引出意外短路。带高电压的元器件应尽量布置在调试时手不易触及的地方

[3] 重量超过15g的元器件、应当用支架加以固定,然后焊接。那些又大又重、发热量多的元器件,不宜装在印制板上,而应装在整机的机箱底板上,且应考虑散热问题。热敏元件应远离发热元件。

[4] 对于电位器、可调电感线圈、可变电容器、微动开关等可调元件的布局应考虑整机的结构要求。若是机内调节,应放在印制板上方便于调节的地方;若是机外调节,其位置要与调节旋钮在机箱面板上的位置相适应。

[5] 应留出印制扳定位孔及固定支架所占用的位置

4.1.2 布线

[1] 输入输出端用的导线应尽量避免相邻平行。最好加线间地线,以免发生反馈藕合。

[2] 印制摄导线的最小宽度主要由导线与绝缘基扳间的粘附强度和流过它们的电流值决定。当铜箔厚度为 0.05mm、宽度为 1 ~ 15mm 时.通过 2A的电流,温度不会高于3℃,因此.导线宽度为1.5mm可满足要求。对于集成电路,尤其是数字电路,通常选0.02~0.3mm导线宽度。当然,只要允许,还是尽可能用宽线.尤其是电源线和地线。导线的最小间距主要由最坏情况下的线间绝缘电阻和击穿电压决定。对于集成电路,尤其是数字电路,只要工艺允许,可使间距小至5~8mm。

[3] 印制导线拐弯处一般取圆弧形,而直角或夹角在高频电路中会影响电

气性能。此外,尽量避免使用大面积铜箔,否则.长时间受热时,易发生铜箔膨胀和脱落现象。必须用大面积铜箔时,最好用栅格状.这样有利于排除铜箔与基板间粘合剂受热产生的挥发性气体。

4.1.3 焊盘

焊盘中心孔要比器件引线直径稍大一些。焊盘太大易形成虚焊。焊盘外径D 一般不小于(d+1.2)mm,其中d为引线孔径。对高密度的数字电路,焊盘最小直径可取(d+1.0)mm。

4.2 PCB板电路抗干扰措施

4.2.1 电源线设计

根据印制线路板电流的大小,尽量加租电源线宽度,减少环路电阻。同时、使电源线、地线的走向和数据传递的方向一致,这样有助于增强抗噪声能力。

4.2.2 地线设计[8]

地线设计的原则是:

[1] 数字地与模拟地分开。若线路板上既有逻辑电路又有线性电路,应使它们尽量分开。低频电路的地应尽量采用单点并联接地,实际布线有困难时可部分串联后再并联接地。高频电路宜采用多点串联接地,地线应短而租,高频元件周围尽量用栅格状大面积地箔。

[2] 接地线应尽量加粗。若接地线用很纫的线条,则接地电位随电流的变化而变化,使抗噪性能降低。因此应将接地线加粗,使它能通过三倍于印制板上的允许电流。如有可能,接地线应在2~3mm以上。

[3] 接地线构成闭环路。只由数字电路组成的印制板,其接地电路布成团环路大多能提高抗噪声能力。

4.3 制板

对于手工自制印刷电路板,按板面的实际设计尺寸剪裁敷铜板可用钢锯沿边线锯开,将敷铜板表面处理后,直接在铜箔面涂保护层。表面处理可用水砂纸去氧化层和油污。然后将打印好PCB转印纸铺在敷铜板上通过热压机将PCB印在

敷铜板上,然后在板子放入配好的三氯化铁溶液中,浓度在28~42%之间,不断摇晃,加速反应。待把能看见的铜都腐蚀完了之后把扳子从三氯化铁溶液中取出来用清水洗净,用砂纸将没有被腐蚀的铜表面沙干净。然后再把已经配好的松香酒精溶液立即涂在洗净晾干的印制电路板上,使它分布均匀且很薄,再加热烘干到不粘手为止。最后在钻孔,完成制板的最后工作。

4.4 焊接的基本常知识[9]

首先是选择电烙铁。对于小型的电子制作项目,20W的烙铁就能满足要求。如果初学焊接时使用大功率烙铁,很容易烫坏元件。

再次,注意焊锡与助焊剂的选用。千万不要使用酸性助焊剂,否则对烙铁头和电路板都有腐蚀作用。最好使用含松香芯的焊锡丝,用松香或松香酒精溶液作助焊剂。砂纸打磨是重要的过程,否则更难上锡甚至上不上锡。正确的方法是用细砂纸轻磨几下,再用烙铁头磨蹭引脚。如果引脚只有少数部位能上锡,这种元器件就得用砂纸多磨几下引脚,否则会成为虚焊的隐患。

搪锡后,将引脚插入通孔,用镊子夹住引脚根部,再用烙铁接触引脚和通孔。一旦焊锡流满通孔,应立即移开烙铁。此时应注意:第一,烙铁应与引脚接触;第二,焊接的时间要短,一般不宜超过三秒;第三,撤离烙铁后千万不可晃动引脚,必须要焊锡凝固后再松开镊子。焊接质量可从焊锡是否填满通孔、焊点是否圆滑光亮来判断。对于焊点周围的松香焊渣,可用乙醇擦去,千万不要使用含有氯化物的溶剂、汽油或肥皂水。

手工焊接对焊点的要求

[1].电连接性能良好;

[2].有一定的机械强度;

[3].光滑圆润。

造成焊接质量不好的常见原因

[1].焊锡用量过多,形成焊点的锡堆积;焊锡过少,就不足一包裹焊点。

[2].冷焊。焊接时烙铁温度过低或加热时间不足,焊锡未完全熔化,浸润,焊锡表面不光亮(不光滑),有细小裂纹(如同豆腐渣一样!)。

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

电子秒表电路的设计

摘要 在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。数码显示器就是用来显示数字、文字或符号的器件。七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。?关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。 ?1电子秒表简介 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。 本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。 图1-1 电子秒表电路的基本组成框图

2单元电路设计及相关元器件的功能简介 2.1基本RS触发器 本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。其功能表如表2-1所示。 RSQn Qn+1功能 0 0 0 不用不允许 0 0 0 不用 0 1 00 Qn+1=0,置0 0 1 1 0 1011Qn+1=1,置1 10 0 1 1 1 11Qn+1=Qn,保持 1 1 0 0 表2-1 基本RS触发器 如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。再切换按钮开关K2,则Q由0变为1,门5开启,为计数器启动作好准备;Q由1变为0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作. 图2-1 基本RS触发器

电子秒表计时电路的设计

电子秒表的设计 摘要:目前数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表, 电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能强,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会,通过这种综合性训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。 本次毕业设计的题目是电子秒表.电子秒表是用于测量较短且较精确的时间,它在体育运动项目上有着广泛的应用.本次设计中应用了多种数字电路中的单元电路,如基本RS触发器、单稳态触发器、时钟发生器及计数器,译码显示器等.我相信通过本次实验,将进一步加深对各数字单元电路的理解,同时也对基础电子设计有一定的了解,为今后的学习和工作打下一定的基础。 关键词:电子秒表;基本RS触发器;单稳态触发器;时钟发生器;计数器;译码显示器 The Design of Digital Stopwatch Abstract: Current digital electronics technology has been widely used in computers, automatic control, electronic measuring instruments, TV, radar, communications and other fields. For example, in the modern measurement technology, digital measuring instrument is not only accurate than analog gauges, powerful, and easy measurement of automation and intelligence. With the integration of technology, particularly in large-scale and ultra large scale integrated circuit development, application of digital electronic technology will be more widely infiltrated into all sectors of the national economy, and will have more profound impact. With modern society, the rapid development of electronic technology, requires us to integrate theory with practice, digital circuit design the project so that we have this great opportunity, through this comprehensive training, our ability, practical skills, ability of comprehensive knowledge applications get better promotion. The course design is the subject of electronic stopwatch. Stopwatch is a shorter and more accurate for measuring time, it projects in sports has been widely used. The design of the application of a variety of digital circuit elements in the circuit, such as basic RS flip-flop, one-shot, the clock generator and counter, decoder display. I believe that through this experiment, will further deepen the understanding of the digital cell circuits, but also on the basis of a certain electronic design understanding for future study and work to lay a certain foundation. Keywords: electronic stopwatch, the basic RS flip-flop, one-shot, the clock generator, counters, decoding display

电子秒表课程设计

2014 ~ 2015学年第1 学期 《单片机C51课程设计》 课程设计报告 题目:电子秒表设计 专业:电气工程学院电子信息系 姓名:江鑫瞿康保李阿龙李贺林超刘浩班级:12级电子信息2班 导师:王银花 电气工程学院 2014 年11月29日

任务书

摘要 基于数字电子技术基础、模拟电子技术基础和电路原理有关知识,对电子秒表电路进行设计和制作。该电子秒表可以实现准确显示00.00—99.99制时间,手动调节时间,随时启动、清零、置时间等功能,使用方便,制作简单。本文针对电子秒表进行初步框架设计,并对多种方案进行了认真比较和验证,在此基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。在总体电路图组装完成以后,针对设计好的电路,用Multisim软件进行了仿真与调试,逐步解决设计过程中出现的一系列问题。在电路设计过程中,特意将选做部分即校时电路部分作为电路设计的主要内容。最后对应的电子秒表设计方案对制作好的电子秒表功能进行总体验证。 关键词:数字电子技术;脉冲发生器;计数器电路;Multisim软件

目录 1.设计要求 (5) 2.设计方案分析 (5) 2.1方案设计 (5) 2.2背景知识介绍 (6) 2.2.1 单片机相关知识 (6) 2.2.1.1 运算器 (7) 2.2.1.2 程序计数器PC (7) 2.2.1.3 令寄存器 (8) 3.硬件设计 (9) 3.1单片机简介 (9) 3.2电源电路 (9) 3.3晶体振荡电路 (9) 3.4复位电路 (9) 3.5显示电路 (10) 3.6键盘电路 (10) 4.硬件主电路图设计 (11) 5.软件设计 (12) 5.1软件设计概述 (12) 5.2主程序流程图 (12) 6.测试数据及设计结果 (12) 7.调试中出现的错误及解决方法 (13) 7.1 实验中遇到的问题及解决方法 (13) 7.2实验心得 (13) 8. 程序 (14) 9.参考文献 (21)

电子秒表的设计

电子秒表得设计 目录 一、设计要求 (2) 二、设计得目得与作用 (2) 三、设计得具体体现………………………………………2 1。电子秒表得基本组成………………………………3 2、电子秒表得工作原理…………………………………3 3。电子秒表得原理图 (4) 4. 单元电路设计 (4) 5。设计仿真与PCB制版 (12) 四、心得体会…………………………………………………17 五、附录 (18) 六、参考文献…………………………………………………20 一、设计要求 1.以0.01秒为最小单位进行显示、 2.秒表可显示0、01~59:59:99秒得量程、 3.该秒表具有清零、开始计时、停止计时功能、 二、设计方案

方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点就是价格相比于数字电路实现得秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点就是价格便宜,计数精确,反应较快,缺点就是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用得数字电路。 二、设计得目得与作用 1。培养我们运用有关课程得基础理论与技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形与现代设计写作方法系统地、正确地表达课程设计与研究成果。 2、熟悉555方波振荡器得应用。 3、熟悉计数器得级联及计数、译码、显示电路得整体配合、 4、建立分频得基本概念。 三、设计得具体体现 1.电子秒表得基本组成 电子秒表电路得基本组成框图如图所示,它主要由基本RS触发器、多谐振荡器、计数器与数码显示器4个部分组成。

数电课设--带proteus仿真的电子秒表设计

工业大学 课程设计 课程:数电课程设计 题目:电子秒表 专业班级: 通信工程1003班 学号: 100404308、309、315

学生: 路、智佳、吴开来 指导教师: 柏山 完成时间: 2013年6月25日 目录 第1章设计要求.................................. 第2章设计方案.................................. 第3章总电路设计思路..................... 第4章分解电路的设计及说明......... 第5章电路的仿真 ............................. 第6章设计总结及心得体会............. 参考文献: ............................................

附录:..................................................... 第1章设计要求 结合数字逻辑电路知识,设计或分析下述功能电路,利用Proteus软件对电路进行功能仿真,并基于仿真结果对电路进行功能改进。给出仿真机及分析过程及结果。 设计参数: 1.设计可控的计数器(定时器)、分频器、键去抖电路和动态扫描显示电路;

2.设计系统顶层电路; 3.进行功能仿真和时序仿真; 4.对仿真结果进行分析,确认仿真结果达到了设计要求: 1.分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 2.确定合理的结构方案,对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较并考虑器件的来源,敲定可行方案。 3.设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。 4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。 第2章设计方案 方案一:实现秒表的功能有很多种,该方案采用的是用555定时器产生一个1000HZ的秒脉冲,然后

电子秒表实验报告

电子技术设计性实训报告 学号:211002146 姓名:邱富烨 同组人:夏文彬 班级:03班 指导老师:林雪健 日期:2012.09.07

目录 一.实训目的---------------------------------------------------3二.设计功能要求---------------------------------------------3 三.电路设计---------------------------------------------------4 (一)电路框图--------------------------------------------4 (二)单元电路分析-------------------------------------4四.设计总图及其工作原理---------------------------------5 (一)工作原理--------------------------------------------5 (二)元件清单--------------------------------------------5五.电路调试--------------------------------------------------6 (一) 调试过程--------------------------------------------6 (二)故障分析与排除-----------------------------------7六.实训心得---------------------------------------------------8

数字电路课程设计-电子秒表的设计

目录 1.引言 1.1设计目的-------------------------------------------------------2 1.2设计内容-------------------------------------------------------2 1.3设计要求-------------------------------------------------------2 2.数字电子秒表设计 2.1仪器设备-------------------------------------------------------3 2.2设计原理-------------------------------------------------------3 2.3电路设计及仿真-------------------------------------------------3 3.电路的硬件验证--------------------------------------------------10 4.心得体会---------------------------------------------------------12 5.参考文献---------------------------------------------------------12

1.引言 1.1设计目的: (1)掌握同步计时器74160、74161的使用方法,并理解其工作原理。 (2)掌握74160、74161进行计数器、分频器的设计方法。 (3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。(4)掌握电子秒表的设计方法。 (5)掌握在EDA系统软件MAX+plusII环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、管脚锁定的芯片下载的方法。 (6)掌握用EDA硬件开发系统进行硬件验证的方法。 1.2设计任务内容: (1)设计可控的计数器(定时器)、分频器、按键去抖电路和动态扫描显示电路; (2)设计系统顶层电路; (3)进行功能仿真和时序仿真; (4)对仿真结果进行分析,确认仿真结果达到要求; (5)在EDA硬件开发系统上进行硬件验证与测试,确保设计电路系统能正确地工作。 1.3设计要求 (1)计时精度不小于1/100秒; (2)输入时钟:1khz; (3)计时器最长计时时间为1小时; (4)具有复位和启/停开关; (5)显示控制:动态六位七段LED显示,位选以三位编码输出。要求显示稳定,扫描显示的频率大于50hz; (6)完成硬件验证测试工作;

基于单片机电子秒表系统设计

《测控仪器设计》课程设计说明书 设计题目:基于单片机电子秒表系统设计院系:机械与材料工程学院 专业:测控技术与仪器 班级: A1121 班 学号:X X 姓名:X X 指导教师:X X 二零一四年十一月

目录 一、设计要求 (1) 二、设计方案分析 (1) 2.1方案设计 (1) 2.2背景知识介绍 (2) 三、硬件分析 (5) 3.1单片机简介 (5) 3.2电源电路 (5) 3.3晶体振荡电路 (5) 3.4复位电路 (5) 3.5显示电路 (6) 3.6键盘电路 (6) 四、硬件主电路图设计 (7) 五、软件设计 (7) 5.1软件设计概述 (7) 5.2主程序流程图 (7) 六、测试数据及设计结果 (8) 七、总结 (8) 附录1 程序 (9) 附录2 protues电路图 (16) 附录3仿真图 (17) 参考文献 (18)

一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析 2.1方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用AT89C51单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。

基于数字电路的电子秒表课程设计

基于数字电路电子秒表课程设计 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

电子秒表电路的设计

电子秒表电路的设计 实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。 1、基本RS 触发器:在电子秒表中的职能是启动和停止秒表的工作 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。

它的一路输出 作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K2 (接地),则门1 输出=1 ;门2 输出Q =0 ,K2 复位后Q 、 状态保持不变。再按动按钮开关K1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 2、单稳态触发器:在电子秒表中的职能是为计数器提供清零信号。 图11 -1 中单元Ⅱ为用集成与非门构成的微分型单稳态触发器,图11 -2 为各点波形图。 单稳态触发器的输入触发负脉冲信号vi 由基本RS 触发器 端提供,输出负脉冲vO 通过非门加到计数器的清除端R 。 静态时,门4 应处于截止状态,故电阻R 必须小于门的关门电阻ROff 。定时元件RC 取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP 和CP 。 3、时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的时钟源。

调节电位器RW ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于计数器①的计数输入端CP2 。 图11-2单稳态触发器波形图图11-3 74LS90引脚排列 4、计数及译码显示

数字秒表电路报告

课程名称:数字电子技术课程设计题目:电子秒表电路 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子秒表电路 一、设计任务与要求 要求设计一个数字秒表,用于短时间测量,适用于田径比赛等竞技场合计时使用。 (1)计时范围:0~10分钟 (2)显示分辨率为1s/10。 (3)用一只按钮开关控制三种工作状态,即:清零计时停止 二、方案设计与论证 实验要求设计一个用于短时间测量的电子秒表,根据学过的相关知识可以知道和题目的要求,电路应该分为分为3个部分,分别是计数脉冲产生电路、计数电路、和状态控制电路。电路的框图描述如下图: 由上面的电路模块图,我们讨论得到下面两个方案

方案一、 用一个555定时器做出多谐振荡电路为计数电路提供计数脉冲,通过调节外围电阻R1、R2和电容C的值使振荡电路产生10Hz的计数脉冲(即周期为0.1秒的信号)。用74LS160计数器做成3级计数电路,分别是十进制的0.1秒计数电路、60进制的秒计数电路和十进制的分计数电路。用74LS160做成3进制计数电路并配合74139二线四线译码器做成状态控制电路,使计数电路在清零、计数、停止3个状态之间转换。 方案二、 用石英晶体构成石英晶振脉冲发生器。计数电路是74LS160串接构成的600进制计数器最多可以计数到600秒(10分钟)这样控制起来比较方便、控制电路同方案一。 最终方案: 方案一。由于对方案二的石英晶振电路原来不是很熟悉,并且方案二的计数显示不符合人的一般思维方式,因此选用方案一作为最终方案。 三、单元电路设计与参数计算 根据上面的讨论,方案包含3大单元:计数电路、状态控制电路、计数脉冲产生电路。下面分别对个单元进行设计和参数的计算。 (1) 计数脉冲产生电路 由于555定时器在数字电子和模拟电子中都要重要的应用,并且使用起来比较简单,只需接少量的电阻电容等外围元件,就可以构成施密特触发电路、单稳态电路和多谐振荡电路,所以本方案最终选用了555定时器来做计数脉冲产生电路。 555定时器的功能表:

电子秒表电路设计课程设计

南华大学电气工程学院 《电子技术课程设计》 设计题目:电子秒表电路设计 专业:自动化082班 学生姓名: 学号: 12 起迄日期: 2011年1月5日~ 2011年1月14日指导教师:李军红 教研室主任:李兰君

《电子技术课程设计》任务书

前言 数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。本设计中数字秒表的最大计时是59分59.99秒,也就是说分辨率是0.01秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零 在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器产生100KHZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。

电子式秒表 摘要:电子式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。 电子式秒表从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100kHZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。具体过程为:由晶体震荡器产生100KHZ脉冲信号,分频为100KHZ后传入计数系统,先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器

单片机电子秒表课程设计报告书

工业大学华立学院 课程设计 课程名称电子技术综合设计与实践题目名称数字电子秒表设计学生学部(系)机械电气学部 专业班级 学号 学生 指导教师

2011年12 月26 日 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) 3.1.1时钟发生单元 (3) 3.1.2计数器 (3) 3.2数码管显示电路 (4) 4调试中的问题 (4) 5硬件设计 (4) 6元器件的选择 (5) 7参考文献 (5) 8心得体会 (6)

1 设计方案的选择 如图1-1所示,要实现数字秒表的控制,则要求数字信号控制系统由NE555脉冲输出、计数器、译码器、数码管等几个部分构成。 图1-1 数字秒表框图

2 总体框架设计 电路总原理图如图2-1所示。图2-1中1单元NE555定时器构成了多谐振荡器,是一种性能较好的时钟源。图2-1中2单元为 ①74LS161构成计数器 ②74LS247构成译码器 ③7段数码管显示数字。 图2-1电路总原理图

电子秒表设计实验报告

电子秒表设计实验报告文件编码(008-TTIG-UTITD-GKBTT-PUUTI-WYTUI-8256)

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期 实验名称:电子秒表电路的设计班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组 成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。 1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2 (接地),则门1 输出=1 ;门2 输出Q =0 , K 2复位后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器

图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性 能较好的时钟源。 ,使在输出端3 获得频率为50HZ 的矩形波信号,当基调节电位器 R W 本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于计数器①的计数输入端CP 。 2 图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为5 0HZ 的时钟脉冲进行五分频,在输出端Q 取得周期为的矩形脉冲,作 D 为计数器②的时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示~ 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。 图11 -3 为74LS90 引脚排列,表11 -1 为功能表。

相关文档
最新文档