8位数字抢答器(含电路图)

8位数字抢答器(含电路图)
8位数字抢答器(含电路图)

天津职业大学

八路抢答器电路设计报告

学院:电子信息工程学院

专业:应用电子技术

班级:电子1班

姓名:吴凡樊德帅

指导教师:李新

2014年6月30日

一、课程设计的内容

设计一个8位数字抢答器。

二、课程设计的要求与数据

设计要求包括:

1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。

2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。

4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如,30秒)。当主持人启动"开始"键后,定时器进行减计时。

5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6. 如果定时时间已到,无人抢答,本次抢答无效,系统通过一个指示灯报警并禁止抢答,定时显示器上显示00。

三、课程设计应完成的工作

1. 利用各种电子器件设计8位数字抢答器;

2. 利用DE2板对所设计的电路进行验证;

3. 总结电路设计结果,撰写课程设计报告。

四、应收集的资料及主要参考文献

[1] 陈永浦. 数字电路基础及快速识图[M]. 人民邮电出版社, 2006. 275-277.

[2] 侯建军. 数字电路实验一体化教程[M]. 北京清华大学出版社, 2005. 77

[3] 范文兵. 数字电子技术基础[M]. 北京清华大学出版社, 2008.

1 设计任务目的及要求

1.1 设计目的

通过课程设计,对数字逻辑的基本内容有进一步的了解,特别是时序逻辑电路的设计。能把上学期学到的数字逻辑理论知识进行实践,操作。在提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。而且在进行电路设计的时候遇到问题,通过独立的思考有利于提高解决问题的能力。在经过课程设计后,更明白数字逻辑电路设计的一般方法,以及在遇到困难怎么排除问题。

1.2 设计要求

我选择的课程任务是设计一个8位数字抢答器。设计要求包括:

1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。

2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。

4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如,30秒)。当主持人启动"开始"键后,定时器进行减计时。

5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6. 如果定时时间已到,无人抢答,本次抢答无效,系统通过一个指示灯报警并禁止抢答,定时显示器上显示00。

2 工作原理及设计方案

抢答器是为竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。回答完问题后,由主持

人将所有按键恢复,重新开始下一轮抢答。抢答器具有定时抢答功能,且一次抢答的时间可以由主持人设定(如,30秒)。当主持人启动"开始"键后,定时器进行减计时。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统通过一个指示灯报警并禁止抢答,定时显示器上显示00。

因此要完成抢答器的逻辑功能,该电路至少应包括输入开关、数字显示、判别组控制以及组号锁存等部分。

2.1 原理框图

图一原理框图

2.2 设计思路

1. 抢答器供8名选手比赛,分别用8个按钮S0 ~ S7表示。这个功能只需要通过管脚分配把按钮分配到实验版上的拨动开SW0到SW7关,让每个选手拨动开关后产生相应的信号就可以了。不同的选手拨动按钮发出信号通过74LS148编码器进行编码,编码后输出信号进行下一步的译码和锁存。

2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。在这里首先通过管脚分配把开关S分配到相应一个拨动开关,这个就是开关SW16。该开关联系到一个相应的线路,这个线路通过与非门连接其他信号,从而达到清零的功能。

3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。74LS373具有锁存功能,可以在一个选手按下按钮后进行锁存,其他的选手不能在抢答。锁存相应的编号时,由于编码器编的是从0到7,如果0号选手抢答,与清零的时候可能造成混

淆,所以要加上加法器,对编码器的0到7都加上1。加法器是用74LS83这样在后面的74LS47译码器上就可以显示1到8的号码。

4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如,30秒)。当主持人启动"开始"键后,定时器进行减计时。74LS192具有减法功能,通过使用74LS192可以对设定的时间进行自减。只需要给定74LS192秒脉冲就可以。同时74LS192结合74LS47可以对所设定的抢答时间和选手抢答的时间显示出来。

5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。选手进行抢答,通过编码器,锁存器,加法器,译码器,显示出来。当某个选手抢答有效,通过74LS148编码器的GSN端口连接到控制清零端的与非门就可以进行禁止其他选手的抢答,同时把该选手的号码显示在数码管上,同时通过74LS148编码器的GSN端口可以停止74LS192的脉冲,从而让脉冲停止,达到显示抢答时间的效果。

6. 如果定时时间已到,无人抢答,本次抢答无效,系统通过一个指示灯报警并禁止抢答,定时显示器上显示00。当时间到的时候,减法器74LS192的十位的LDN端口发出一个高电平,在这个端口上连接上一个显示灯,作为报警用。同时减法器74LS192的十位的BON端口会发出一个低电平,可以连接到脉冲上,让脉冲停止,数码管上显示的是00.

2.3 设计流程图

图二流程图

2.4 设计方案

整体的电路可以分为两部分,一个是抢答电路,第二部分是定时,报警电路。

1 .抢答的部分:抢答器供8名选手比赛,分别用8个按钮S0 ~ S7表示。通过管脚分配把按钮分配到实验版上的拨动开SW0到SW7关,让每个选手拨动开关后产生相应的信号。不同的选手拨动按钮发出信号通过74LS148编码器进行编码,编码后输出信号进行下一步的译码和锁存。设置一个系统清除和抢答控制开关S,开关由主持人控制。通过管脚分配把开关S分配到相应一个拨动开关SW16。该开关联系到一个相应的线路,这个线路通过与非门连接其他信号,从而达到清零的功能。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。74LS373具有锁存功能,可以在一个选手按下按钮后进行锁存,其他的选手不能在在有选手抢答后再进行抢答。锁存相应的编号时,由于编码器编的是从0到7,如果0号选手抢答,与清零的时候可能造成混淆,所以要加上加法器,对编码器的0到7都加上1。加法器是使用用74LS83,加1后在就可以在数码显示管上显示1到8的号码。

2. 定时抢答功能,和报警部分:一次抢答的时间由主持人设定(如,30秒)。当主持人启动"开始"键后,定时器进行减计时。74LS192具有减法功能,通过使用74LS192可以对设定的时间进行自减。74LS192进行工作的时候需要给定秒脉

冲。同时74LS192结合74LS47可以对所设定的抢答时间和选手抢答的时间显示

出来。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。选手进行抢答,通过编码器,锁存器,加法器,译码器,显示出来。当某个选手抢答有效,通过74LS148编码器的GSN端口连接到控制清零端的与非门就可以进行禁止其他选手的抢答,同时把该选手的号码显示在数码管上,同时通过74LS148编码器的GSN端口可以停止74LS192的脉冲,从而让脉冲停止,达到显示抢答时间的效果。若定时时间已到,无人抢答,本次抢答无效,系统通过一个指示灯报警并禁止抢答,定时显示器上显示00。当时间到的时候,减法器74LS192的十位的LDN端口发出一个高电平,在这个端口上连接上一个显示灯,作为报警用。同时减法器74LS192的十位的BON端口会发出一个低电平,可以连接到脉冲上,让脉冲停止输到加法器上,那么数码管显示的是00。

3 单元电路设计与实现

整个电路分为编码单元,锁存单元,加法器单元,设定抢答时间单元,和译码单元五个部分。

3.1 编码单元

在选手按动按钮后,发出相应的信号。使用74LS148对信号进行编码,优先判决器是由74LS148集成优先编码器等组成。该编码器有8个信号输入端,3个二进制码输出端,输入使能端EI,输出使能端EO和优先编码工作状态标志GS。其功能表如表5.24.1所示。从功能表中可以看出当EI=“0”时,编码器工作,而当EI=“1”时,则不论8个输入端为何种状态,输出端均为“1”,且GS端和EO端为“1”,编码器处于非工作状态,这种情况被称为输入低电平有效。

图3 优先编码器74LS148功能表

输入输出

EI I0 I1 I2 I3 I4 I5 I6 I7A2 A1 A0 GS EO

1 ×××××××× 1 1 1 1 1

0 1 1 1 1 1 1 1 1 1 1 1 1 0

0 ××××××× 0 0 0 0 0 1

0 ×××××× 0 1 0 0 1 0 1

0 ××××× 0 1 1 0 1 0 0 1

0 ×××× 0 1 1 1 0 1 1 0 1

0 ××× 0 1 1 1 1 1 0 0 0 1

0 ×× 0 1 1 1 1 1 1 0 1 0 1

0 × 0 1 1 1 1 1 1 1 1 0 0 1

0 0 1 1 1 1 1 1 1 1 1 1 0 1

(表中×代表任意状态)

由74LS148集成优先编码器组成的优先判决器如图所示,当抢答开关S1—S7中的一个按下时,编码器输出相应按键对应的二进制代码,低电平有效。编码器输出AO~A2、工作状态标志GS作为锁存器电路的输入信号,而输入使能端EI端应和锁存器电路的Q0端相联接,目的是为了在EI端为“1”时锁定编

码器的输入电路,使其它输入开关不起作用。具体实现电路为:

图四编码单元

3.2 锁存单元

74LS373功能表:

E G D Q

L H H H

L H L L

L L X Q

上表是74LS373的真值表,表中:

L——低电平;

H——高电平;

X——不定态;

Q0——建立稳态前Q的电平;

G——输入端,与8031ALE连高电平:畅通无阻低电平:关门锁存。

OE——使能端,接地。

当G=“1”时,74LS373输出端1Q—8Q与输入端1D—8D相同;

当G为下降沿时,将输入数据锁存。

那么按照实验的要求,编码器的输入就只有三个,因此只用到Q1到Q3,而Q4接上74LS148的GSN,再和74LS373的输出D4通过与非门连接起来,输到74LS373的G端口。从而达到锁存的目的。具体电路图为:

图五锁存单元

3.3 加法器单元

由于选手输入的是0到7,所以要每个都加上1,让数码管显示的是1到8,因此要使用加法器。加法器的真值表为:

A1[A3] B1[B3] A2[A4] B2[B4] | S1[S3] S2[S4] C2[C4] | S1[S3] S2[S4]

C2[C4]

L L L L | L L L | H L L

H L L L | H L L | L H L

L H L L | H L L | L H L

H H L L | L H L | H H L

L L H L | L H L | H H L

H L H L | H H L | L L H

L H H L | H H L | L L H

H H H L | L L H | H L H

L L L H | L H L | H H L

H L L H | H H L | L L H

L H L H | H H L | L L H

H H L H | L L H | H L H

L L H H | L L H | H L H

H L H H | H L H | L H H

L H H H | H L H | L H H

H H H H | L H H | H H H

因此要使加法器加上1,那么,令74LS83的A1,A2,A3对应74LS373的Q1,Q2,Q3作为输入的数据,而B1,B2,B3,B4,A4则接地。具体的电路图为:

图六加法单元

3.4 译码单元

4 实验结果

按照设计时的思路,把电路图连接好。经过改错,编译,分配管脚,然后就可以看到实验结果。我的管脚分配为:SW0到SW7为选手的编号,分别为1到8。SW8到SW11为设定抢答时间的个位,SW12到SW15是设定抢答时间的十位。SW16为设定抢答时间的置位端。SW17为开始键。实验结果为:

主持人先按下置位端,就可以设定抢答时间,按照个位和十位的拨动开关设定,在对应的数码管上可以看到相应的抢答时间。然后主持人就可以按下开关让选手抢答,这时候抢答时间开始自减,在这个时间内,如果有选手抢答,数码管上会显示相应的选手号,在这个时候,如果有其他的选手也按下了抢答按钮,显示器不会显示。如果在抢答时间内没有人抢答,显示抢答时间的数码管就会显示00,而且有报警灯闪。当主持人要进行下一轮的抢答,可以拨动开关SW16作为清零,重新开始抢答。

综上所述,实验结果符合实验任务的要求。

5 总结

本课程设计基本完成了老师的要求,能够实现全部的功能。能八路抢答,能定时抢答,也能报警,清零。

在进行实验的时候,遇到不少的问题。一开始不知道该使用什么芯片去做实验,只能研究老师发的《Quartus II中的宏模块》,熟悉芯片的功能,同时去图书馆借有关书籍,看看哪些芯片能达到编码,译码,锁存等,以及重新温习了上个学期的《数字逻辑》书本。经过资料的搜集,基本确定了用哪类芯片达到哪类功能。然后就研究电路图,仔细对照真值表连接电路图,并画好电路图草稿。画好电路图后,到实验室上机,一开始在电脑上画好电路图后,编译也不过,存在几个错误,慢慢检查后,是连线的错误,有几个地方是不该接在一起的,从而造成了一个输入端有两个输入量。在排除错误后,经过了编译,但是数码管完全没有反应,检查后发现是因为在通过与非门进行清零的时候,接线错误导致令74LS74一直不工作,改过错误后,数码管能正确显示,选手也能抢答,但是抢答时间到了,74LS192还是在计数,不能在00那里停止,于是改进电路图,使74LS192的十位的BON连到一个脉冲上。上面是一些问题和解决方法,其实遇到的问题远不止这些,不过现在回想起来都是一些很低级的错误,有时候是因为粗心大意造成的错误,有时候是因为没有认真看芯片的真值表而造成的。

经过了这次课程设计,我收获良多。在一开始的时候,很担心自己不能完成实验,因为上学期的数字逻辑不是学得很好,造成信心不是很足。后来也证明了我是可以独立完成的。在设计电路的时候,考虑的不是很全面,以及画电路图的

时候没有很细心谨慎地工作,造成了一些低级错误。所以经过课程设计,我提高了自己的动手能力,也增强了自己的信心。同时让我知道在工作的时候要保持细致严密的工作态度,这样会事半功倍。

参考文献

[1] 陈永浦. 数字电路基础及快速识图[M]. 人民邮电出版社, 2006: 275-277.

[2] 侯建军. 数字电路实验一体化教程[M]. 北京清华大学出版社, 2005: 77

[3] 范文兵. 数字电子技术基础[M]. 北京清华大学出版社, 2008:

8路数字抢答器课程设计报告

八路抢答器课程设计报告设计课题:数显八路抢答器 专业班级 08电气一班 学生姓名:陈建运 - 学号:06 指导教师: 设计时间: 2010-12 %

目录 课程设计任务书 (2) 摘要 (4) )Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 总体方案设计 (7) 设计思路 (7) 总电路框图 (7) 各模块设计方案及原理说明 (8) \ 抢答电路 (8) 倒计时电路 (12) 四、电路仿真 (14) 抢答电路 (14) 倒计时电路 (17) 五、实验结果及分析 (20) 六、收获、体会和建议 (22) 附录 (25) > 1.总电路图 (25) 2. 元件引脚图 (26) 3.元器件清单 (28) 主要参考文献 (29) `

¥ 摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用peotul完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真 Abstract 。 Responder is a tool that has been widely used in various intelligence and knowledge competitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use Multisim11 completed the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.

数字抢答器 数字电路设计

数字电路课程设计 一、设计任务和要求: 1. 抢答器同时供4名选手或4个代表队比赛,分别用4个按钮D1~ D 4表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号, 并在LED数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如50 秒)。当主持人启动"开始"键后,定时器进行计时(0~50)。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作, 显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到,无人抢答,本次抢答无效,禁止抢答,定时显 示器上显示50,显示抢答人组号的数码管此时显示无用字符。 7.在主持人未启动“开始”键前,如有抢答者则其对应的红灯亮,同时数码管显示其号码;如果有两个或两个以上的人违规,则他们对应的红灯都亮。 二、总体方案选择: 如图所示为总体方框图。其工作原理为:接通电源后,主持人 开关开始时接地处于禁止状态,编号显示器显示7,定时器显示

时间(00);此时, 若有人抢答, 为违规抢答,LED显示器显示其编号,并红灯警告.定时器显示不变;主持人将开关置“开始"端,宣布"开始",抢答器工作,同时定时器计时,选手在定时时间内抢答时,抢答器完成: 优先判断, 编号锁存, 编号显示。若在50秒内无人抢答,50秒到后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 数字抢答器框图: 三,单元电路设计 所用器材:

八路抢答器电路的设计-王赫

八路抢答器设计报告 目录 一、设计的目的 (2) 二、设计任务和要求··································2-3 三、设计的方案的选择与论证··························3-5 四、电路设计计算与分析·····························5-19 1、基本功能电路的设计·····························5-17 1.1抢答电路的设计·····························5-11 1.2定时电路的设计····························11-14 1.3报警电路的设计····························14-17 1.4时序控制电路设计 (17) 2、扩展功能电路的设计·····························20-21 2.1六路彩灯循环电路的设计 (20) 2.2计分电路的设计 (21) 五、总结及心得 (22) 六、附录 (23) 七、参考文献 (24)

一、设计目的 (1)掌握抢答器电路的原理。 (2)了解数字集成电路的使用方法。 (3)进行电路原理设计,并学会运用PROTEL等电路设计及仿真软件。 (4)熟悉Multisim的基本使用方法,运用Mulsitim进行电路设计的仿真。 二、设计任务与要求 设计一个智力竞赛抢答器,可同时共8 名选手参加比赛,并具有定时抢答功能。具体功能要求如下: 1.抢答器的基本功能: (1)设计一个智力竞赛抢答器,可同时供8 名选手或8 个代表队参加比赛,他们的选号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S1、S2、S3、S4、S5、S6、S7、S8。 (2)设置一个节目主持人控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED 数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 (4) 加入报警电路,当主持人选择开始时报警器报警,开始后有选手抢答此时报警电路报警,当设置的倒计时时间走完时报警电路报警。

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

8路数字抢答器设计说明

简易8路数显抢答器: 简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。元器件主要包括 CD4511.N E555、IN 4148、三极管(901 4)、LED 共阴极数码管、扬声器、小型按钮开关及电阻电容等。 说明: 抢答数显电路: J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD 码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD —七段锁存/译码/驱动电路于一体的集成电路。CD4511的 1、2、 6、7脚为BCD 码输入端,9~15脚为显示输出端。3脚为测试端(LT),当L T 为“0 ”时,输出全为“1 ”。4脚为消隐端(B I ),当B I 为“0 ”时,输出全为“0 ”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(L E ),当L E 端由“0 ”→“1 ”时,a 、b 、c 、d 、e 、f 、g 七个输出端保持在LE 为“0”时所加BCD 码对应的数码显示状态。 16、8脚分别接电源正负极。由CD4511的引脚图可知, 6、2、 1、7脚分别代表BCD 码的 8、4、

2、1位。按下对应的键,即可得到 0001、0010、 0011、0100、 01、0110、 0111、1000八个一系列的BCD 码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。优先锁存电路由两个二极管( D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“00”,则CD4511输出端a、b、c、d、e、f 均为高电平,g 为低电平,且数码显示为“0”。而当d 为高电平,三极管(VT)导通及g 为低电平时, D13、D14的正极均为低电平,使CD4511的LE 端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d 输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD 码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的 1、2、 6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。元器件清单 序号910元件名称 电阻 电阻

数字电路抢答器电路设计.doc

《数字电子技术课程设计》报告——数字电路抢答器电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 1.课程设计目的 抢答器电路设计方案很多,有用专用芯片设计的、有用复杂可编程逻辑

电路设计的、有用单片机设计制作的、也有用可编程控制器完成的,但由于专用电路芯片通常是厂家特殊设计开发的,一般不易买到或价格较高,用其它方式设计的需要设计者具有相应的理论知识,并要通过仿真器、应用软件、计算机等辅助设备才能验证完成,不利于设计者的设计和制作。 而有些实际竞赛的场合,只要满足显示抢答有效和有效组别即可,故我打算不用所给的参考电路,而用一片 74LS297(8 位的数据锁存器)来实现此简易抢答器的功能。这是一个显示方式简单、价格低廉、经济实用的抢答器。在要求不高的场合,能完全符合需要 2、性能指标要求: (1)设计制作一个可容纳8 组参赛的数字式枪答器,每组设置一个抢答按 钮供抢答者使用。 (2)根据数字式抢答器的功能和使用步骤,设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,起动、复位电路。 (5)设计计分电路,犯规电路。 3.电路组成框图 如图数字抢答器框图

如图所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到" 清除 "状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主 持人将开关置“开始”状态,宣布"开始 "抢答器工作。定时器倒计时,扬声器给 出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定 时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 4.元器件清单 元件清单: 74LS148 1 74LS279 1 74LS48 3 74LS192 2 NE555 2 74LS00 1 74LS121 1 510Ω 2 1KΩ9 Ω 1 Ω 1 100kΩ 1 10kΩ 1 68kΩ 1 Ω 1 15k

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

八路纯数字电路抢答器Proteus设计图

一、任务与要求: ⑴抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 ⑵设置一个系统清除和抢答控制开关S,该开关由主持人控制。 ⑶抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 ⑷抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。 ⑸参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 ⑹如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

A 7QA 13 B 1QB 12 C 2QC 11 D 6QD 10BI/RBO 4Q E 9RBI 5Q F 15LT 3 QG 14 U1 74LS48 R2 10k R3 10k R4 10k R5 10k R6 10k R7 10k R8 10k R9 10k R 4DC 7 Q 3 GND 1 VCC 8 TR 2TH 6 CV 5U5 NE555 A 7QA 13 B 1QB 12 C 2QC 11 D 6QD 10BI/RBO 4Q E 9RBI 5Q F 15LT 3 QG 14 U6 74LS48 A 7QA 13 B 1QB 12 C 2QC 11 D 6QD 10BI/RBO 4Q E 9RBI 5Q F 15LT 3 QG 14 U7 74LS48 D015Q03D11Q12D210Q26D3 9 Q3 7 UP 5TCU 12DN 4TCD 13PL 11MR 14U8 74LS192 D015Q03D11Q12D210Q26D39Q3 7 UP 5TCU 12DN 4TCD 13 PL 11MR 14 U9 74LS192 R10 20k R11 15k C1 10u C2 0.1uf D2LED-RED R145001 2 3 U11:A 74LS0811121231341526374859 10 Q09Q17Q26Q3 14 U2 74LS14712 U3:A 74LS043 4 U3:B 74LS0456U3:C 74LS0413 12 U3:D 74LS04 1234 5611128 U4 74LS30 D03Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D718 Q7 19 OE 1LE 11U1074LS373 123U12:A 74LS86 R1 100 4 56 U11:B 74LS08 1110U3:E 74LS04910 8U11:C 74LS08 R 4DC 7 Q 3 GND 1 VCC 8 TR 2 TH 6 CV 5 U13 NE555R12 10k C4 0.1u Q1 NPN R135k D1 LED-RED 12 1311U11:D 74LS08D3 LED-RED R15 500 R16 100 C3 100u C5 0.1uf R17 1k CX 14RX/CX 15A 1B 2MR 3 Q 13Q 4U14:A 74LS123CX 6 RX/CX 7 A 9 B 10MR 11 Q 5Q 12 U14:B 74LS123 1 2 3U15:A 74LS08 R19 1k C60.1u

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

八路抢答器设计(附源程序)

烟台大学 单片机课程设计说明书 课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年 06 月 07 日

目录 1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 4.1 控制系统所需硬件 (4) 4.2 硬件原理介绍 (4) 5 软件设计 (7) 5.1 软件总体设计 (7) 5.2 程序流程图 (8) 6 Proteus软件仿真 (12) 6.1 Keil软件 (12) 6.2在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24)

1 .概述 8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编

8路抢答器的数字电路

- - - 《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 设计人:罗帅学号: 专业:08电气班级:1

成绩:评阅人: 哈尔滨应用技术职业技术学院 8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封

四人抢答器电路设计

成绩 课程设计说明书 题目:四人抢答器电路设计 课程名称:数字电子技术 学院:电子信息与电气工程学院 学生姓名:裴雷雨 学号:011X 专业班级:自动化2011级2班 指导教师:李立 2013年6月6日

课程设计任务书 四人抢答器电路设计

摘要:本文介绍设计了一个四人抢答器控制电路,该电路能够实现四人比赛抢答的功能并通过发光二极管和蜂鸣器实现对抢答者的声光报警指示。其中抢答电路用四D触发器74LS175、与非门74LS00和555定时器实现;报警电路用一个上拉电阻、发光二极管、蜂鸣器等实现抢答声光指示器;显示电路用74LS74 D触发器、74LS192计数器、74LS47译码器(驱动器)和七段共阳极数码显示器实现;时钟电路用计数器74LS192、555定时器、74LS47译码器(驱动器)、七段共阳极数码显示器和双D触发器74LS47共同完成十秒倒计时的时钟电路。 关键词:抢答器;声光报警;定时电路;显示电路;时钟电路

目录 1.设计背景 (1) 数字电路系统 (1) 时钟电路的作用及基本构成 (1) Multisim软件和DXP软件 (1) 2.设计方案 (2) 分析任务 (2) 论证方案... (2) 电路分析 (2) 3.方案实施 (3) 设计原理图 (3) 用Multisim电路仿真 (10) 制作PCB (12) 安装与调试 (12) 4.结果与结论 (12) 5.收获与致谢 (13) 6.参考文献 (13) 7.附件 (14) 电路原理图 (14) PCB布线图 (15) 实物图 (16) 元器件清单 (17)

1. 设计背景 数字电路系统 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。数字逻辑电路是一门研究数字信号的编码、运算、记忆、计数、存储、分配、测量和传输的科学技术。简单地说是用数字信号去实现运算、控制和测量的科学。 时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的555多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 Multisim软件和DXP软件 Multisim软件是由加拿大IIT公司推出的大型设计工具软件。它不仅提供了电路原理图输入和硬件描述语言模型输入的接口和比较全面的数据分析功能,同时还提供了庞大的元、器件模型库和一整套虚拟仪器表,可以满足对一般的数字逻辑电路、模拟电路以及数字-模拟混合电路进行分析和设计的需求。DXP软件是一个软件集成平台,把为电子产品开发提供完整环境所需的工具全部整合在一个应用软件中。DXP 包括所有设计所需的工具:原理图和HDL设计输入、电路仿真、信号完整性分析、PCB设计、基于FPGA的嵌入式系统的设计和开发。此次课程设计主要学习应用到Multisim软件的电路图仿真和DXP软件的原理图及PCB的设计,因此熟练掌握两种软件的基本操作很有必要。 设计方案 分析任务 抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用LED数码管把选手的所剩抢答时间显示出来。而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。抢答时间设定9秒。接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢

八路抢答器原理图及仿真图

附录:八路抢答器原理图及仿真图 A 7 B 1 C 2 D 6 L T 3B 4L E S T B 5Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G 14 U1 4511 A 7 B 1 C 2D 6 L T 3B 4L E S T B 5 Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G 14 U2 4511 A 7 B 1 C 2D 6 L T 3B 4L E S T B 5 Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G 14 U3 4511 12 U4:A 74LS04 3 4 U4:B 74LS04 5 6U4:C 74LS04 1312 U4:D 74LS04 0101112123134152637 4 E 5 E O 15A 09A 17A 2 6 G S 14 U5 74LS148 1 23 U6:A 74LS08 D 2 Q 5 C L K 3Q 6 S 4 R 1 U7:A 74LS74 +5v 1110 U4:E 74LS04 9 8U4:F 74LS04 1 23 U8:A 74LS86 4 56 U8:B 74LS86 R1 5.1K R2 5.1K R3 5.1K R4 5.1K R5 5.1K R6 5.1K R7 5.1K R8 5.1K R9 10k Q1 NPN D1 LED-RED +5v R10 510 SW1 SW-SPDT D2 LED-RED R11 510 1 2 U9:A 74LS04 34U9:B 74LS04 +5v +5v D 015Q 03D 11Q 12D 210Q 26D 39 Q 3 7 U P 5T C U 12D N 4T C D 13P L 11M R 14 U10 74LS192 D 015Q 03D 11Q 12D 210Q 26D 3 9 Q 3 7 U P 5T C U 12D N 4T C D 13 P L 11M R 14 U11 74LS192 +5v C K A 14Q 012C K B 1 Q 19Q 28Q 3 11R 0(1)2R 0(2)3R 9(1)6R 9(2) 7 U12 74LS90 C K A 14Q 012C K B 1 Q 19Q 28Q 3 11R 0(1)2R 0(2)3R 9(1)6R 9(2) 7U13 74LS90 R12 10k R13 10k +5v R 4 DC 7 Q 3 G N D 1V C C 8 TR 2TH 6 CV 5U14 555R14 1k R15 68k C1 0.1uF C2 10uF 41% RV1 10k A 7 B 1 C 2D 6L T 3B 4L E S T B 5Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G 14U1 4511 A 7 B 1 C 2 D 6L T 3B 4L E S T B 5 Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G 14 U2 4511 A 7 B 1 C 2 D 6 L T 3B 4L E S T B 5 Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G 14 U3 4511 12 U4:A 74LS04 34U4:B 74LS04 56U4:C 74LS04 13 12U4:D 74LS04 0101112123134152637 4 E 5 E O 15 A 09A 17A 26G S 14 U574LS148 1 2 3 U6:A 74LS08 D 2Q 5C L K 3Q 6S 4 R 1 U7:A 74LS74 +5v 1110 U4:E 74LS04 98U4:F 74LS04 1 2 3 U8:A 74LS86 456U8:B 74LS86 R1 5.1K R2 5.1K R3 5.1K R4 5.1K R5 5.1K R6 5.1K R75.1K R8 5.1K R9 10k Q1NPN D1LED-RED +5v R10510SW1 SW-SPDT D2 LED-RED R11510 1 2U9:A 74LS04 3 4 U9:B 74LS04 +5v +5v D 015Q 03D 11Q 12D 210Q 26D 39Q 37U P 5T C U 12D N 4T C D 13P L 11M R 14U10 74LS192 D 015Q 03D 11Q 12D 210Q 26D 39Q 37U P 5T C U 12D N 4T C D 13P L 11M R 14U11 74LS192 +5v C K A 14Q 012C K B 1Q 19Q 28Q 3 11R 0(1)2R 0(2)3R 9(1)6R 9(2)7U1274LS90 C K A 14Q 012C K B 1Q 19Q 28Q 3 11 R 0(1)2R 0(2)3R 9(1)6R 9(2) 7U13 74LS90 R12 10k R13 10k +5v R 4 DC 7 Q 3 G N D 1V C C 8TR 2 TH 6 CV 5 U14 555R14 1k R15 68k C1 0.1uF C2 10uF 41% RV1 10k

8路抢答器的设计

八路数字抢答器的设计 (湖南城市学院物理与电子信息工程系 0412301 413000) 摘要:本文选用74LS273等芯片设计一个八路数字抢答器电路,该电路主要由抢答开关阵列电路、优先编码器、储存器、译码器、显示器、控制电路、声响电路等七部分组成。能同时供八个代表队参加比赛,具有显示优先抢答代表队的编号,给出声响提示,并封锁其它代表队的输入,静止其他选手抢答等功能。采用EWB仿真软件仿真,结果完全符合设计目标。 关键词:抢答器电路;锁存电路;译码驱动电路;控制电路;数码显示电路 The Design of Eighth Route Digital Answering Machine Tu Chao (Department of Physics and Electronic Information Engineering , Hunan City University , 041230141 413000) Abstract:This ship 74LS273 is selected to design a circuit of Eight Route Digital Answering Machine. This circuit is mainly composed of seven parts the snathing swith array circuit, the priority encoder, the memory, the decoder, the display, the control circuit and so on, the sound signal circuit, It can be simultaneously used by eight teams to attend the game. It also can display the number of the first snathers , give the sound signal and prevent other team’s input so that the others can’t anach .The result completely comforms to the design goal when using the EWB to simulink. Key words:Snatches the answering electric circuit, The lock saves the electric circuit, Decoding actuation electric circuit, Control circuit, Numerical code display circuit

相关文档
最新文档