数字电路复习指导剖析

数字电路复习指导剖析
数字电路复习指导剖析

第一章逻辑代数基础

一、本章知识点

1.数制及不同数制间的转换

熟练掌握各种不同数制之间的互相转换。

2.码制定义、码的表示方法

BCD码的定义,常用BCD码特点及表示十进制数的方法。

3.原码、反码、补码的表示方法

4.逻辑代数的基本公式和常用公式

掌握逻辑代数的基本公式和常用公式。

5.逻辑代数的三个基本定理

定义,应用

6.逻辑函数的表示方法及相互转换

7.逻辑函数最小项之和的标准形式

8.逻辑函数的化简

公式法化简逻辑函数

卡诺图法化简逻辑函数的基本原理及化简方法

二、例题

1.1 数制转换

1. (46.125)10= ( 101110.001 )2 =( 56.1 )8=( 2E.2 )16

2. (1

3.A)16=( 00010011.1010 )2=( 19.625 )10

3. (10011.1)2=( 23.4 )8=( 19.5 )10

1.2 写出下列数的八位二进制数的原码、反码、补码

原码,就是用最高位表示数符(0表示正数、1表示负数)。正数,原码=反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1

1.(-35)10= (10100011 )原码= (11011100)反码=(11011101)补码

2. (+35)10 = (00100011 )原码= (00100011)反码=(00100011)补码

3. (-110101)2 = (10110101 )原码= (11001010)反码=(11001011)补码

4. (+110101)2 = (00110101 )原码= (00110101)反码=(00110101)补码

5. (-17)8=(10001111 )原码= (11110000)反码=(11110001)补码 1.3. 将下列三位BCD 码转换为十进制数

根据BCD 码的编码规则,四位一组展成对应的十进制数。 1. (10110010110)余3码 = (263)10 2. (10110010110)8421码= (596)10 1.4 分别求下列函数的对偶式Y ‘

和反函数Y

1. D C B A Y ++=)(

D C B A Y ?+?=)(' D C B A Y ?+?=)(

2. D A C B A Y ++=

)()('D A C B A Y +??+= D C B A Y ?+?=)(

1.5 求下列函数的与非-与非式。

1. B A AB Y +=

B A AB Y ?=

1.6 将下列函数展成最小项之和的标准形式

1. Y=C B B A ?+?

C

B A

C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()(

2. Q R S Y +=

SRQ

Q SR Q R S Q R S Q R S S S Q R Q Q R R S Q R S Y ++++=++++=+=)())((

1.7 用公式法化简下列函数

1.

C

AB

C

B

BC

A

AC

C

B

A

Y+

+

+

=

)

,

,

(

C

C

AB

C

C

AB

B

B

A

A

C

C

AB

C

B

BC

A

AC

C

B

A

Y

=

+

=

+

+

+

=

+

+

+

=)

(

)

,

,

(

2. D

D

C

C

B

C

A

AB

Y+

+

+

+

=

1

1

)

(

)

(

)

(

=

+

+

=

+

+

+

+

=

+

+

+

+

=

+

+

+

+

=

D

C

D

C

B

A

AB

D

C

C

B

C

A

AB

D

D

C

C

B

C

A

AB

Y

1.8 用卡诺图化简下列逻辑函数

1. ∑

=)

15

,

14

,

13

,

12

,

11

,

10

,6,5,4,2(

)

,

,

,

(m

D

C

B

A

Y

D

C

AC

C

B

Y+

+

=

2. ∑

∑+

=)

11

,9,8,3,1,0(

)

15

,

12

,7,6,4,2(

)

,

,

,

(d

m

D

C

B

A

Y

C

A

CD

D

C

Y+

+

=

3.

:)9,8,7,5,2,1,0(),,,(=+=∑AC AB m D C B A Y 约束条件

练习:1.4.2、1.6.1

2..1.3、2.1.6、2.2.3、2.2.4

第二章门电路

一、本章重点

1.各类门电路的符号及功能;

2.TTL电路的外特性及其应用

3.CMOS电路的外特性及其应用

二、本章知识点

(一) 基本概念

1、熟记各种功能门电路的逻辑符号。

2、熟记TTL、CMOS门的主要电气参数(高低电平的典型值、转折电压值)。

3、正确理解噪声容限的概念。

4、正确理解哪些TTL门电路可以将输出端并联使用。

5、正确理解门电路多余输入端的处理方法(应该接什么逻辑电平)。

6、熟练掌握TTL门电路输入端的负载特性,开门电阻值、关门电阻值,会判断输入

端在接不同负载电阻时所对应的相应逻辑值。

7、熟练掌握TTL门电路的输入端电压电流关系特性(在输入高、低电平时相应的电

流方向及大小)。

8、熟练掌握TTL门电路的输出端电压电流关系特性(在输出高、低电平时相应的电

流方向及大小)。

9、会判断负逻辑的门电路转换成正逻辑时门电路新的逻辑功能。

10、会比较TTL电路系列产品(74、74H、74S、74LS)的性能(工作速度、功耗)。

11、熟记集电极开路门、三态门、CMOS传输门的功能及逻辑符号。

12、正确理解集电极开路的门电路(OC门)使用时时需要外接电源和限流电阻,输出

端能并联使用实现“线与”的工作特点。

13、会根据使能端逻辑值判断三态门的工作状态,会根据控制端逻辑值判断CMOS传

输门的工作状态。

14、正确理解CMOS传输门输入、输出端可以互换使用、实现数据双向传输的特点;

CMOS传输门又称为电子模拟开关,可用来传输连续变化的模拟电压信号,正确理解其电路的基本组成。

(二) 简要分析

熟练掌握各种功能门电路的逻辑功能。熟练掌握TTL门电路输入端的负载特性、输入/输出端的电压电流关系特性,会判断各种情况下输入端的逻辑值。熟练掌握集电极开路门的线与结构、三态门工作状态的判断、CMOS传输门工作状态的判断。

在掌握以上知识点的前提下,具备以下分析能力:

1、根据各种门电路的给定接法,写出相应的输出逻辑表达式。

2、根据各种门电路的给定接法,求出相应的输出逻辑值。

3、根据各种门电路的给定接法、及输入波形,画出相应的输出波形。

4、分析给定的各种门电路的接法,指出电路中存在的问题并改正。

三、例题

1.指出下图中由TTL门电路组成的逻辑电路的输出是什么(高电平、低电平、高阻)?

解:Y1= 低电平 Y2= 高电平 Y3= 高阻 Y4= 高电平

2. 已知图示TTL门电路的输入端波形,试分别画出Y1、Y2、Y3、Y4的输出波形。

解:波形如图所示

3.下图电路均由TTL 门组成,R ON =2K ,R OFF =0.7K ,试分别写出输出函数的表达式。

解:0011=+?+?=B C A Y

D C B A Y +?+=2

C AB C B C A C AB C AB C AB Y ++=+=⊕=3

4.已知CMOS 逻辑电路如图所示,试写出输出逻辑函数Y1、Y2的表达式。

解:A Y =1 C B AC Y +=2

5.TTL门电路如图所示。

(1)图中多余输入端B应接。

(2)为使图中电路F1=f(A,C)正常工作,该电路是否还有错误?为什么?如有错误,请改正。在上述(1)、(2)问题解决后:

(3)如A=1、C=0,1门输出Y ,F1= ;

如A=1、C=1,1门输出Y ,F1= ;

解:

(1)图中多余输入端B应接低电平。

(2)或非门输入端通过10K电阻接地,相当于常接高电平,封锁了或非门,使它出低电平,与A、C无关了。因此,为使图中电路F1=f(A,C)正常工作,该电路确实有错误。

改正:把10K电阻改换为小于700Ω的电阻即可。

(3)如A=1、C=0,1门输出Y 0 ,F1= 1 ;

如A=1、C=1,1门输出Y 高阻,F1= 0 ;

6.已知逻辑电路如图所示,试分别写出Y1、Y2、Y3、Y4的输出逻辑值。

解:11=Y

02=Y =3Y 高阻 04=Y

练习:3.1.5、3.2.2、3.5.2、3.6.7

第三章组合逻辑电路

一、本章知识点

(一)概念

1.组合电路:电路在任一时刻输出仅取决于该时刻的输入,而与电路原来的状态无关。

电路结构特点:只有门电路,不含存储(记忆)单元。

2.编码器的逻辑功能:把输入的每一个高、低电平信号编成一个对应的二进制代码。

优先编码器:几个输入信号同时出现时,只对其中优先权最高的一个进行编码。

3.译码器的逻辑功能:输入二进制代码,输出高、低电平信号。

显示译码器:半导体数码管(LED数码管)、液晶显示器(LCD)

4.数据选择器:从一组输入数据中选出某一个输出的电路,也称为多路开关。

5.加法器

半加器:不考虑来自低位的进位的两个1位二进制数相加的电路。

全加器:带低位进位的两个 1 位二进制数相加的电路。

超前进位加法器与串行进位加法器相比虽然电路比较复杂,但其速度快。

6.数值比较器:比较两个数字大小的各种逻辑电路。

7.组合逻辑电路中的竞争一冒险现象

竞争:门电路两个输入信号同时向相反跳变(一个从1变0,另一个从0变1)的现象。

竞争-冒险:由于竞争而在电路输出端可能产生尖峰脉冲的现象。

消除竞争一冒险现象的方法:接入滤波电容、引入选通脉冲、修改逻辑设计

(二)组合逻辑电路的分析方法

分析步骤:

1.由图写出逻辑函数式,并作适当化简;

注意:写逻辑函数式时从输入到输出逐级写出。

2.由函数式列出真值表;

3.根据真值表说明电路功能。

(三)组合逻辑电路的设计方法

设计步骤:

1.逻辑抽象:

设计要求----文字描述的具有一定因果关系的事件。

逻辑要求---真值表

(1) 设定变量--根据因果关系确定输入、输出变量;

(2)状态赋值:定义逻辑状态的含意

输入、输出变量的两种不同状态分别用0、1代表。

(3)列出真值表

2.由真值表写出逻辑函数式

真值表→函数式,有时可省略。

3.选定器件的类型

可选用小规模门电路,中规模常用组合逻辑器件或可编程逻辑器件。

4.函数化简或变换式

(1)用门电路进行设计:从真值表----卡诺图/公式法化简。

(2)用中规模常用组合电路设计:把函数式变换为与所用器件函数式相似的形式。

(3)使用存储器、可编程逻辑器件设计组合电路

5.画出逻辑图

原理性设计(逻辑设计)完成。

(四)常用组合逻辑电路的功能

编码器、译码器、数据选择器、加法器、数值比较器

(五)用常用中规模集成组合逻辑器件计组合电路

1.用译码器器设计组合电路

方法:

(1)选择集成二进制译码器;

(2)写函数的标准与非-与非式;

(3)确认变量和输入关系;

(4)画连线图。

2.用数据选择器设计组合电路

方法:

(1)写出函数的标准与或式和数据选择器表达式;

(2)对照比较确定输入变量和地址码的对应关系;

输入变量可能是变量(原变量或反变量),也可能是常量(0或1)。

(3)画连线图。

3.用加法器设计组合电路--用在加(减)某一常数的场合

二、例题

1.组合电路如图所示,分析该电路的逻辑功能。

解:

(1)由逻辑图逐级写出逻辑表达式

ABC P =

CP BP AP L ++=ABC C ABC B ABC A ++= (2)化简与变换

C

B A AB

C C B A ABC C B A ABC L +=+++=++=)((3)由表达式列出真值表

(4)分析逻辑功能

由真值表可知,当A 、B 、C 三个变量不一致时,电路输出为“1”,所以这个电路称为“不一致电路”。

真值表

A B C

L

数字电路与系统分析第一章习题答案

数字电路与系统习题参考答案 南京邮电学院电子工程系 2003/12

习题答案 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数? 解:分别代表28=256和210=1024个数。 1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8 转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 ——先将2421BCD码转换成十进制数(252)10,再转换成二进制数。 (0110.1010)余3循环BCD码=(1.1110)2 ——余3循环BCD码中的1和0没有权值意义,因此先转换成十进制数(1.9)10,得出原精度为10-1,转换的二进制的小数位k≥3.3,因此至少取4位。 1.9 用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

华师网络学院作业答案-数字电路分析题(20210117015613)

TTL电路及输入A、B、C波形如图所示,写出其输出逻辑表达式,并画输出丫的波形图电路及输入、、波形如图所示,写出输出逻辑表达式,并画出输出丫的波形图。 ---- s H L Y A B C 答案: 解:骗出迸辑表达式匕Y = A^B-C = ABC 输出波 B ------------------ C ---------------------- TTL电路及输入A、B波形如图所示,写出其输出逻辑表达式,并画输出& & >1 r 答案:丫的波形图。 C

解:输出逻辑表达式=Y = + = + C 输出波形图;+ A B C Y 如图所示电路是边沿 D 触发器,要求:(1)写出触发器的次态逻辑表达式; (2)给出CP 和A 的波形如下,画出触发 器的状态波形。设触发器初始状态为 0。 答案: 駆动方程:D = A 次态逻辑表达式’ = 融发器状态波骸(餌丄有效2 译码器74LS138和与非门构成的逻辑电路如图所示。请写出最简的输出逻辑表达式。 答案 : TOYI 囊岳爲 T5TO T7 毘一

解:输出逻辑表达式:F 二乔石?脊石* 化简;a y 二叮歼?热月二托+此+為+岭 =2方F+丄丽+屈C+HEC 二 BC C A ^A )-^-AC (豆+月)二託*)0 同步十六进制计数器 74LS161构成电路如下图所示。要求:画出电路的状态转换图,说明该电路的逻辑功能。 答案: 解:1 ?电路的状态转换圈狀 Q^QiQiQ^ 0000 T0001 T OQIO T0011 T 0100 — 0101 t 0110 T J noo looo ^OIH (:在状态为1100时?£D = 0P 置数为00(W ) 2.电路的逻辑功旨上是;十三进制加袪计数器卩 分析如图所示电路,要求:(1)写出输出 Y 的逻辑表达式;(2)由逻辑表达式列写真值表; (3)说明电路功 能。 答案 : Q3 QI QQ CO CTp 74LS151 I D CP D3 D2 DI DD CF 一 E I}

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电路基础解析

第6章数字电路基础 教学重点 1.理解模拟信号与数字信号的区别。 2.掌握基本逻辑门、复合逻辑门的逻辑功能和电路图形符号,会使用真值表。 3.了解TTL、CMOS门电路的型号、引脚功能,会测试其逻辑功能。 4.了解集成门电路的外形与封装,能合理使用集成门电路。 5.会进行二进制数、十进制数和十六进制数之间的相互转换。 6.了解8421BCD码的表示形式。 7.会用逻辑代数基本公式化简逻辑函数,了解其在工程应用中的实际意义。教学难点 1.集成门电路的合理使用。 2.二进制数、十进制数和十六进制数之间的相互转换。 3.用逻辑代数基本公式化简逻辑函数。 学时分配

6.1逻辑门电路 电信号可分为两大类:一类是模拟信号,另一类是数字信号,如图所示。 (a ) (b ) 在数字电路中,通常用电位的高、低去控制门电路,输入与输出信号只有两种状态:高电平状态和低电平状态。 规定用1表示高电平,用0表示低电平,称为正逻辑,反之为负逻辑。 6.1.1基本逻辑门电路 数字电路中往往用输入信号表示“条件”,用输出信号表示“结果”,而条件与结果之间的因果关系称为逻辑关系,能实现某种逻辑关系的数字电子电路称为逻辑门电路。 基本的逻辑关系有:与逻辑、或逻辑、非逻辑,与之相应的基本逻辑门电路有与门、或门、非门。 做一做:与逻辑、或逻辑和非逻辑 1.与门电路 (1)与逻辑关系 当一件事情的几个条件全部具备之后,这件事情才能发生,否则不发生。这样的因果关系称为与逻辑关系,也称为逻辑乘。 (2)与逻辑关系的表示 用逻辑函数表达式表示 Y =A ·B 或Y =AB 用真值表表示(将全部可能的输入组合及其对应的输出值用表格表示称之为真值表)

数字电路试题及答案

数字电路试题及答案 二、单项选择题(本大题共10小题,每小题2分,共20分) 1、十六进制数(8F)16对应的十进制数是( C ) A、141 B、142 C、143 D、144 2、逻辑函数L(A,B,C)=(A+B)(B+C)(A+C)的最简与或表达式为( D) A、(A+C)B+AC B、 AB+(B+A)C C、 A(B+C)+BC D、 AB+BC+AC 3、与非门输出为低电平时,需满足( D ) A、只要有一个输入端为低电平 B、只要有一个输入端为高电平 C、所有输入端都是低电平 D、所有输入端都是高电平 4、能够实现“线与”功能的门电路是( D ) A、与非门B、或非门 C、三态输出门D、集电极开路门 5、由与非门构成的基本RS触发器,要使Qn+1=Qn,则输入信号应为(A) A、R=S=1B、R=S=0 C、R=1,S=0D、R=0,S=1 6、要使T触发器Qn+1=Qn ,则(B) A、T=QnB、T=0C、T=1D、T=n 7、对于JK触发器,要使Q n+1=Q n,则(B) A、J=K=1 B、J=K=0 C、J=1,K=0 D、J=0,K=1 8、为实现D触发器转换成T触发器,题图所示的虚线框内应是。( C ) A、与非门 B、异或门 C、同或门 D、或非门 9、十六个数据输入端的数据选择器必有地址输入端的个数为( D) A、1 B、2 C、3 D、4 10、一个4位二进制计数器的最大模数是( C ) A、4 B、8 C、16 D、32 三、简答题(本大题共2小题,每小题5分,共10分) 1、数字电路从整体上看可分为几大类? 答:(1)、按集成度分,有小、中、大、超大、甚大规模;(3分) (2)、按结构工艺分,有TTL、CMOS集成电路。(2分) 2、最简与-或表达式的标准是什么? 答:(1)、包含的与项最少;(3分) (2)、每个与项中变量的个数最少。(2分) 四、分析计算题(本大题共6小题,每小题10分,共60分) 1、逻辑电路的输入变量A、B和输出函数F的波形如题3-1图所示,试列出真值表,写出逻辑函数F的逻辑表达式,并画逻辑图。

数字电路考题和答案解析

浙江理工大学2005-2006学年《脉冲与数字电路》期末考试(A) 专业:自动化04()姓名:________学号得分:_______ 一、填空(共20分,1分/空) 1、将二进制数(1010101.0011)2分别转换成下列进制数:十进制数; 八进制数;十六进制数。 2、TTL集成电路中多发射极输入级既完成了的逻辑功能,又提高了电路 的。 3、已知CD =,其反函数的最简与或表达式 L+ A B 为。 4、要组成容量为16K×32位的ROM,需要片容量为4K×8位的 ROM。 5、在下列JK触发器、RS 触发器、D触发器和T触发器四种触发器中,同时具有保持、置1、置0和翻转功能的触发器是。 6、逻辑函数式A A⊕等于。 7、寄存器按照功能不同可分为两类:只读寄存器和随机寄存器。 8、常见的脉冲产生电路有,常见的脉冲整形电 路、。 9、一个基本RS触发器在正常工作时,它的约束条件是1= R,则它不允许输入= S +S 且= R的信号。 10.常用的BCD码有、、、

等。 二、判断题(10分,1分/题) 1、若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。() 2、数电技术中用的8421码不是恒权码。() 3、逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。() 4、TTL与非门的多余输入端可以接固定高电平。() 5、一般TTL门电路的输出端可以直接相连,实现线与。() 6、卡诺图是用图形来描述逻辑函数的一种方法。() 7、优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。() 8、环形计数器在每个时钟脉冲CP作用时,仅有一位触发器发生状态更新。() 9、施密特触发器有两个稳定的状态,但这两个状态依懒于输入信号的幅值。() 10、ROM存储器中的信息只能读出不能写入。

数字电路复习题(含标准答案)

一、填空题: 1.在计算机内部,只处理二进制数;二制数的数码为1 、0两个;写出从(000) 2依次加 1的所有3位二进制数:000、001、010、011、100、101、110、111 。 2.13=(1101)2;(5A )16=(1011010)2;(10001100)2=(8C )16。 完成二进制加法(1011)2+1=(1100)2 3.写出下列公式: =1 ; = B ; =A+B ; =B A +。 4.含用触发器的数字电路属于时序逻辑电路 (组合逻辑电路、时序逻辑电路)。TTL 、CMOS 电路中,工作电压为5V 的是TTL ;要特别注意防静电的是CMOS 。 5.要对256个存贮单元进行编址,则所需的地址线是8 条。 6.输出端一定连接上拉电阻的是OC 门;三态门的输出状态有 1 、0 、高阻 态三种状态。 7.施密特触发器有 2 个稳定状态.,多谐振荡器有 0 个稳定状态。 8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是 移位寄存器 , 是 同步 时序电路(填同步还是异步),当R D =1时,Q 0Q 1Q 2Q 3= 0000 ,当R D =0,D I =1,当第二个CP 脉冲到来后,Q 0Q 1Q 2Q 3= 0100 。 (图一) 1.和二进制数(111100111.001)等值的十六进制数是( B ) A .(747.2)16 B .(1E7.2)16 C .(3D7.1) 16 D .(F31.2) 16 2.和逻辑式B A C B AC ++相等的式子是( A ) R CP

A.AC+B B. BC C.B D.BC A 3.32位输入的二进制编码器,其输出端有( D )位。 A. 256 B. 128 C. 4 D. 5 4.n位触发器构成的扭环形计数器,其无关状态数为个( B ) A.2n-n B.2n-2n C.2n D.2n-1 5.4个边沿JK触发器,可以存储( A )位二进制数 A.4 B.8 C.16 6.三极管作为开关时工作区域是( D ) A.饱和区+放大区B.击穿区+截止区 C.放大区+击穿区D.饱和区+截止区 7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A.基本RS触发器B.同步RS触发器C.主从结构触发器8.施密特触发器常用于对脉冲波形的( C ) A.定时B.计数C.整形 1.八进制数 (34.2 ) 8 的等值二进制数为11100.01 ;十进制数 98 的8421BCD 码为10011000 。 2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)?(其中(A)(B)为TTL门电路,而(C)为CMOS门电路) (A)(B)(C) Y 1= 02 Y 2 = 1 Y 3 = 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4.单稳态触发器有一个稳定状态和一个暂稳状态。施密特触发器有两个稳定状态、有两个不同的触发电平,具有回差特性。多谐振荡器没有

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1

9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。 A. AC AB F += B. C B AB F += C. AC B A F += D. AC B A F += 10. 要实现n n Q Q =+1,JK 触发器的J 、K 取值应为( )。 A J=K=0 B J=K=1 C J=0 K=1 11. 可以用来实现并/串转换和串/并转换的器件是( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是( ) A. RS 触发器 B. T 触发器 C. JK 触发器 D. Tˊ触发器 13. 某逻辑电路输入A 、B 和输出Y 的波形如图2所示,则此电路实现的逻辑功能是( ) A. 与非 B. 或非 C. 异或 D. 异或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是( ) A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为( ) A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、 填空题

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码

(完整版)数字电路期末复习试题和答案解析

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和 0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

数字电子技术基础—试题—解答

三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 1、Y=A+B 2、用卡诺图法化简为最简或与式Y= + C +A D, 约束条件:A C + A CD+AB=0 2、用卡诺图圈0的方法可得:Y=(+D)(A+ )(+ ) 四、分析下列电路。(每题6分,共12分) 1、写出如图4所示电路的真值表及最简逻辑表达式。 图4 1、该电路为三变量判一致电路,当三个变量都相同时输出为1,否则输出为0。 2、写出如图5所示电路的最简逻辑表达式。

2、 B =1,Y = A , B =0 Y 呈高阻态。 五、判断如图6所示电路的逻辑功能。若已知u B =-20V,设二极管为理想二极管,试根据u A 输入波形,画出u 0 的输出波形(8分) t 图6 五、u 0 = u A · u B ,输出波形u 0 如图10所示: 图10 六、用如图7所示的8选1数据选择器CT74LS151实现下列函数。(8分) Y(A,B,C,D)=Σm(1,5,6,7,9,11,12,13,14)

图7 答: 七、用4位二进制计数集成芯片CT74LS161采用两种方法实现模值为10的计数器,要求画出接线图和全状态转换图。(CT74LS161如图8所示,其LD端为同步置数端,CR为异步复位端)。(10分) 图8 七、接线如图12所示: 图12 全状态转换图如图13 所示: (a )

(b ) 图13 八、电路如图9所示,试写出电路的激励方程,状态转移方程,求出Z 1 、Z 2 、Z 3 的输出逻辑表达式,并画出在CP脉冲作用下,Q 0 、Q 1 、Z 1 、Z 2 、Z 3 的输出波形。 (设Q 0 、Q 1 的初态为0。)(12分) 八、,,波形如图14所示: 三、将下列函数化简为最简与或表达式(本题10分) 1. (代数法) 2、F 2 (A,B,C,D)=∑m (0,1,2,4,5,9)+∑d (7,8,10,11,12,13)(卡诺图法) 三、1. 2.

数字电子详细讲解

填空: 1.逻辑函数有四种表示方法,它们分别是(真值表、)、(逻辑图式)、(、逻 辑表达)和(卡诺图)。 2.将2011个“1”异或起来得到的结果是(0 )。 3.TTL器件输入脚悬空相当于输入(高)电平。 4.基本逻辑运算有: (与)、(或)和(非)运算。 5.采用四位比较器对两个四位数比较时,先比较(最高)位。 6.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 7.数字系统按组成方式可分为( 功能扩展电路) 、( 功能稳态电路) 两种; 8.两二进制数相加时,不考虑低位的进位信号是(半)加器。 9.时序逻辑电路的输出不仅和(该时刻输入变量的取值)有关,而且还与(该时刻电路 的状态)有关。 10.计数器按CP脉冲的输入方式可分为(同步计数器)和(异步计数器)。 11.触发器根据逻辑功能的不同,可分为(RS触发器)、(JK触发器)、(T触发器)、(T’ 触发器)、(D触发器)等。 12.根据不同需要,在集成计数器芯片的基础上,通过采用(反馈归零法),(预置数法), (进位输出置最小数法)等方法可以实现任意进制的技术器。 13.一个JK 触发器有(两)个稳态,它可存储(一)位二进制数。 14.若将一个正弦波电压信号转换成同一频率的矩形波,应采用(多谐振荡器)电路。 15.把JK触发器改成T触发器的方法是(J=K=T)。 16.N个触发器组成的计数器最多可以组成(2n)进制的计数器。 17.基本RS触发器的约束条件是RS=0 。 18.与非门的逻辑功能为(全1出0,有0出1)。 19.数字信号的特点是在(时间)上和(幅值)上都是断续变化的,其高电平 和低电平常用 1 和0 来表示。 20.三态门的“三态”指高电平,低电平和高阻状态。 21.逻辑代数的三个重要规则是代入规则、对偶规则、反演规则 22.为了实现高的频率稳定度,常采用石英晶体振荡器;单稳 态触发器受到外触发时进入暂稳态

数字电路习题讲解

《数字电路》习题讲解(10计算机科学与技术专业) 2011年12月

一、选择题 1.十进制数33的余3码为 C 。 A. 00110110 B. 110110 C. 01100110 D. 100100 2.二进制小数的补码表示为 D 。 A . B . C . D . 3.两输入与非门输出为0时,输入应满足 A 。 A .两个同时为1 B .两个同时为0 C .两个互为相反 D .两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项 B ? A . 9 B .7 C .16 D .不能确定 5. 下列逻辑函数中,与A F =相等的是 B 。 )(A 11⊕=A F )(B A F =2⊙1 )(C 13?=A F )(D 04+=A F 6. 设计一个6进制的同步计数器,需要 A 个触发器。 )(A 3 )(B 4 )(C 5 )(D 6 7. 下列电路中,属于时序逻辑电路的是 C 。 )(A 编码器 )(B 半加器 )(C 寄存器 )(D 译码器 8. 列电路中,实现逻辑功能n n Q Q =+1的是 A 。 )(A )(B 9. C 的输出端可直接相连,实现线与逻辑功能。 )(A 与非门 )(B 一般TTL 门 )(C 集电极开路OC 门 )(D 一般CMOS 门 10.以下代码中为无权码的为 CD 。 A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 11.逻辑函数L (A,B,C )=A+BC 对应的最小项标准表达式为( )。 A 、L (A,B,C )=Σ(3,4,5,6) B 、L (A,B, C )=Σ(3,4,5,6,7) C 、L (A,B,C )=Σ(2,4,5,6,7) D 、L (A,B,C )=Σ(1,3,5,7) 12.一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 CP Q CP Q CP Q 0 CP

数字电路与系统期末考试题

机密★启用前 大连理工大学网络教育学院 2019年秋《数字电路与系统》 期末考试复习题 ☆注意事项:本复习题满分共:400分 一、单项选择题 1、实现或运算逻辑功能的逻辑器件称为()。 A.非门B.与门 C.或门D.与或非门 2、四变量卡诺图共有()个小格。 A.4 B.8 C.12 D.16 3、编码器的功能是把输入信号编成()进制代码。 A.二B.八 C.十D.十六 4、()是算术运算的基本单元。 A.译码器B.编码器 C.加法器D.数据比较器 5、如果逻辑电路在较慢速度下工作,为了消去竞争冒险,可以如何操作?() A.在输入端串联一个电容器B.在输入端并联一个电容器 C.在输出端串联一个电容器D.在输出端并联一个电容器 6、时钟RS触发器输入端S=R=0时,CLK=1,则触发器()。 A.两个输出端同时变为1 B.次态为0 C.次态为1 D.保持原态 7、寄存器是由具有存储功能的触发器组合起来构成的,一个触发器可以存储()位二进制代码。A.1 B.2 C.3 D.4

8、555定时器构成的施密特触发器上限阈值电压是Vcc的()倍。 A.1/3 B.1/2 C.2/3 D.1 9、对于某个输入数字,实测输出值与理论输出值之()称为绝对误差。 A.和B.差 C.积D.商 10、ADC0816是一个()ADC。 A.二位B.八位 C.十二位D.十六位 11、在有两个输入端A、B的二极管或门电路中,什么条件下输出F为低电平?() A.A=1,B=1 B.A=1,B=0 C.A=0,B=1 D.A=0,B=0 12、A+AB=() A.A B.B C.AB D.A+B 13、7485是一个()。 A.译码器B.编码器 C.触发器D.数值比较器 14、TTL维持阻塞D触发器在()触发。 A.时钟脉冲上升沿B.时钟脉冲下降沿 C.时钟脉冲上升沿和下降沿都可D.时钟脉冲上升沿和下降沿都不可 15、用()辅以数据选择器,可以构成各种序列信号发生器。 A.触发器B.计数器 C.编码器D.译码器 16、TTL与非门组成的微分型单稳态触发器的恢复时间等于()倍的RC。 A.1~2 B.1~3 C.2~3 D.3~5 17、74121的输出暂稳态脉冲宽度为()RC。 A.0.7 B.1 C.1.1 D.1.4 18、R-2R倒梯形电阻网络DAC电路的模拟电压输出与R-2R梯形电阻网络DAC电路的模拟电压输出相

相关文档
最新文档