洗衣机控制器课程设计

洗衣机控制器课程设计
洗衣机控制器课程设计

九江学院

课程设计

课程 EDA技术课程设计

题目洗衣机控制器

院系电子信息学院

专业班级电子信息工程技术

学生姓名张翁生

学生学号 37 指导教师高玉宝

一、设计要求与原理

设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动 正转20秒 暂停10秒 反转20秒 暂停10秒 定时未到回到“正转20秒 暂停10秒 ……”,定时到则停止,同时发出提示音。

基本要求:

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动 正转20秒 暂停10秒 反转20秒 暂停10秒 定时未到回到“正转20秒 暂停10秒 ……”,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED 灯表示“正转”、“反转”、“暂停”三个状态。

二、洗衣机的工作过程

首先用电路控制三只LED 显示洗衣机正转、反转、暂停三种状态。然后用电子定时器控制洗衣机设定的工作时间,以及正传和反转运行时间的控制。同时用两个数码管显示洗涤的预置时间(按分钟计数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;最后定时到则停止,同时用蜂鸣器发出提示音。通过各种开关组成控制电路,使洗衣机实现程序运转。 直至结束为止。

三、各模块图

洗衣机控制电路由定时输入模块,电机输出模块,电机时间控制模块,数字显示电路,倒计时模块以及报警器模块组成。

图一

四、各模块的VHDL 代码与仿真结果

环控制电路,使其在三个状态转换

按键控制模块

控制循环时间

数字显示模块

报警电路 定时输入模块

倒计时模块

1、输入定时模块,

此模块是为了实现希望让洗衣机工作多少个分钟,有两个数码管显示工作时间,所以可以不同要求输入要洗衣的时间,可以输入1~59分钟不等时间,人性化控制,与实际的洗衣机工作是一样的。程序如下:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity shuru is

Port ( shu : in std_logic;

hshu: in std_logic;

din : in std_logic;

dout:out std_logic_vector(3 downto 0);

dout1:out std_logic_vector(3 downto 0));

end shuru;

architecture Behavioral of shuru is

signal count: std_logic_vector(3 downto 0);

signal count1: std_logic_vector(3 downto 0);

begin

process(shu,hshu,din)

begin

dout<=count;

dout1<=count1;

if din='0' then

dout<="1111";dout1<="1111";

elsif rising_edge(shu) then

if count="1001" then

count<="0000";

else

count<=count+1;

end if;

end if;

if rising_edge(hshu) then

if count1="0110" then

count1<="0000";

else

count1<=count1+1;

end if;

end if;

end process;

end Behavioral;

仿真波形如下

2、产生1HZ频率的信号

此程序是将学校试验箱上提供的48MHZ的信号分频成1HZ频率的信号,这样可以一秒进行计数,程序很简单,如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

entity fp48M is

port(clk_48MHZ: in std_logic;

clk_1HZ: out std_logic

);

end fp48M;

architecture behav of fp48M is

signal clk_1HZ_r: std_logic;

signal count : std_logic_vector(24 downto 0);

begin

process (clk_48MHZ)

begin

if clk_48MHZ'event and clk_48MHZ='1' then

if count="1011011100011010111111111"then

count<=(others=>'0');

clk_1HZ_r<=not clk_1HZ_r;

else count<=count+1;

clk_1HZ<=clk_1HZ_r;

end if;

end if;

end process;

end behav;

3、提供定时脉冲模块

此模块提供1分钟产生一个高电平和5秒产生一个高电平,这两个脉冲为后面的循环和控制60秒减一分钟有很多的作用,起到后面的链接作用,同时可以根据自己来设置各状态工作时间,这可以和后面的循环控制一起来控制,程序如:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity washmachine is

Port ( clk : in std_logic;

c :out std_logic;

d :out std_logic);

end washmachine;

architecture miao20 of washmachine is

signal count: std_logic_vector(2 downto 0);

signal shi: integer range 0 to 60;

begin

process(clk)

begin

if rising_edge(clk) then

if shi=60 then

shi<=0;c<='1';

else shi<=shi+1;c<='0';

end if;

if count="100" then

count<="000";

d<='1';

else

count<=count+1;

d<='0';

end if;

end if;

end process;

end miao20;

仿真波形如下:

4、循环控制模块

此模块是为了实现能够控制洗衣机正转、反转、暂停的功能,同时也可以和前一模块一起控制各个状态的工作时间。

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity dianji is

Port (

cc :in std_logic;

deng : out std_logic_vector(2 downto 0));

end dianji;

architecture di of dianji is

signal count : std_logic_vector(3 downto 0);

signal deng1 : std_logic_vector(2 downto 0);

begin

deng<=deng1;

process(cc)

begin

if rising_edge(cc) then

if count = "1010" then

count <= "0000";

else

count <= count+1;

end if;

if count="0000" then

deng1<="011";

elsif count="0100" then

deng1<="101";

elsif count="0110" then

deng1<="110";

end if;

end if;

end process;

end di ;

仿真波形如下:

5、分钟的个位控制

根据课程设计要求,把工作状态及工作时间显示出来,按下KEY5键就可以显示工作时间的分钟个位

显示在数码管上,可以根据洗衣不同要求设置同时可以控制分钟的十位时间,当个位分钟为0时下一个分钟个位脉冲过来分钟的十位就减1程序如下:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity fen_l is

Port ( jian : in std_logic;

reset : in std_logic;

din : in std_logic_vector(3 downto 0);

dout : out std_logic_vector(3 downto 0);

c:out std_logic);

end fen_l;

architecture Behave of fen_l is

signal count : std_logic_vector(3 downto 0);

begin

dout <= count;

process(jian,reset,din)

begin

if reset='0'then

count <= din ;

c<='0';

elsif rising_edge(jian) then

if count = "0000" then

count <= "1001";

c<='1';

else

count <= count-1;

c<='0';

end if;

end if;

end process;

end Behave;

仿真波形如下:

6、分钟的十位控制

根据课程设计要求,把工作状态及工作时间显示出来,按下KEY5键就可以显示工作时间的分钟十位

显示在数码管上,可以根据洗衣不同要求设置,这和上面程序相似,当个位分钟为0时下一个分钟个位脉冲过来分钟的十位就减1程序如下:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity fen_h is

Port ( jian : in std_logic;

reset : in std_logic;

din : in std_logic_vector(3 downto 0);

dout : out std_logic_vector(3 downto 0);

c:out std_logic);

end fen_h;

architecture Behave of fen_h is

signal count : std_logic_vector(3 downto 0);

begin

dout <= count;

process(jian,reset,din)

begin

if reset='0'then

count <= din ;

c<='0';

elsif rising_edge(jian) then

if count = "0000" then

count <= "1001";

c<='1';

else

count <= count-1;

c<='0';

end if;

end if;

end process;

end Behave;

7、数码管显示模块

此模块是显示要洗衣的时间,这个时间是可以在前面的控制模块控制的,安下KEY5键就可以显示时间。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_Arith.ALL;

USE IEEE.STD_LOGIC_Unsigned.ALL;

ENTITY xianshi_led IS

clk_2k: IN STD_LOGIC;

d: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --输入要显示的数据

dig: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --数码管选择输出引脚

seg: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) --数码管段输出引脚

);

END ENTITY;

ARCHITECTURE one OF xianshi_led IS

SIGNAL seg_r: STD_LOGIC_VECTOR(7 DOWNTO 0); --定义数码管输出寄存器SIGNAL dig_r: STD_LOGIC_VECTOR(7 DOWNTO 0); --定义数码管选择输出寄存器SIGNAL disp_dat: STD_LOGIC_VECTOR(3 DOWNTO 0); --定义显示数据寄存器SIGNAL count: STD_LOGIC_VECTOR(2 DOWNTO 0); --定义计数寄存器

BEGIN

dig<=dig_r;

seg<=seg_r;

PROCESS(clk_2k)

BEGIN

IF RISING_EDGE(clk_2k) THEN

count<=count+1;

END IF;

END PROCESS;

PROCESS(clk_2k)

BEGIN

IF RISING_EDGE(clk_2k) THEN

CASE count IS

WHEN "000"=> disp_dat<=d(7 DOWNTO 4); --第一个数码管

WHEN "001"=> disp_dat<=d(3 DOWNTO 0); --第二个数码管

when others=>null;

END CASE;

CASE count IS --选择数码管显示位

WHEN "000"=> dig_r<="01111111"; --选择第一个数码管显示

WHEN "001"=> dig_r<="10111111"; --选择第二个数码管显示

when others=>null;

END CASE;

END IF;

END PROCESS;

PROCESS(disp_dat)

CASE disp_dat IS

WHEN X"0"=> seg_r<=X"c0";--显示0

WHEN X"1"=> seg_r<=X"f9";--显示1

WHEN X"2"=> seg_r<=X"a4";--显示2

WHEN X"3"=> seg_r<=X"b0";--显示3

WHEN X"4"=> seg_r<=X"99";--显示4

WHEN X"5"=> seg_r<=X"92";--显示5

WHEN X"6"=> seg_r<=X"82";--显示6

WHEN X"7"=> seg_r<=X"f8";--显示7

WHEN X"8"=> seg_r<=X"80";--显示8

WHEN X"9"=> seg_r<=X"90";--显示9

WHEN X"a"=> seg_r<=X"88";--显示a

WHEN X"b"=> seg_r<=X"83";--显示b

WHEN X"c"=> seg_r<=X"c6";--显示c

WHEN X"d"=> seg_r<=X"a1";--显示d

WHEN X"e"=> seg_r<=X"86";--显示e

WHEN X"f"=> seg_r<=X"8e";--显示f

END CASE;

END PROCESS;

END;

8、提供数码管工作频率模块

由于数码管要工作在2KHZ的频率信号下有所需要将试验箱48MHZ的信号分频分频成2KHZ,此程序和产生1HZ是一样的程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

entity fp2k is

port(clk_48MHZ: in std_logic;

clk_2KHZ: out std_logic

);

end fp2k;

architecture behav of fp2k is

signal clk_2KHZ_r: std_logic;

signal count : std_logic_vector(14 downto 0);

begin

process (clk_48MHZ)

begin

if clk_48MHZ'event and clk_48MHZ='1' then

if count="10111011011111"then

count<=(others=>'0');

clk_2KHZ_r<=not clk_2KHZ_r;

else count<=count+1;

clk_2KHZ<=clk_2KHZ_r;

end if;

end if;

end process;

end behav;

五、将各个模块连接及其目标器件选择

将各个模块的VHDL语言生产原理图模块器件,将各个模块连接如下图:

P IN_C13

VCC key1_in INPUT

P IN_D13

VCC key2_in INPUT

P IN_P1

VCC key4_in INPUT

P IN_N2

VCC

clk_48M_in INPUT

P IN_AD13

VCC

key5_in INPUT

P IN_P18

G_IN

OUTPUT

P IN_P7

P IN_P9

P IN_R5

led_out[2..0]

OUTPUT

P IN_L6

P IN_K5

P IN_G3

P IN_J4

P IN_J3

P IN_K4

P IN_L3

P IN_M4

dig[7..0]

OUTPUT

P IN_J8

P IN_M3

P IN_K6

P IN_J6

P IN_U10

P IN_N9

P IN_L10

P IN_L9

seg[7..0]

OUTPUT

clk c

d

washmachine

inst4

shu

hshu

din

dout[3..0]

dout1[3..0]

shuru

inst7

clk_48MHZ clk_1HZ

f p48M

inst11

cc deng[2..0]

dianji

inst12

clk_2k

d[7..0]

dig[7..0]

seg[7..0]

xianshi_led

inst

clk_48MHZ clk_2KHZ

f p2k

inst3

d[3..0]

d[7..0]

d[7..4]

jian

reset

din[3..0]

dout[3..0]

c

f en_l

inst1

jian

reset

din[3..0]

dout[3..0]

c

f en_h

inst2

六、实训总结

这次EDA课程设计虽然只有一个星期但是还是学到了很多东西,老师给我们很多的题目让我们自己选择一个做,我先看了下那几个题目,感觉自己可以尝试做几个,虽然老师说要我们去网上查资料看看网上是怎么写的,但是我还是自己写程序,一开始我做了拔河机,用了大概一天时间把程序写完,但是在试验箱上却不能完全实现,经过多次的修改,功能实现还是有点欠缺;之后我又做了交通灯和洗衣机(洗衣机做了两个版本),在实现功能上交通灯还是有点欠缺,就是一个方向的绿灯和黄灯在最后5秒不能切换,这个问题我请教过老师,老师给出了些建议,最后的洗衣机做了两个版本,就是在控制三个状态转换上有点不同,一个是一个模块完成的,另外一个是有两个20秒倒计时和10秒倒计时时完成,这两个思维都在实验上看到结果和预计的是一样的。

通过和老师的交流我认识到自己的不足,虽然这次课程设计我做出了几个设,但是还是有很多的不足,就拿数码管来说吧,其中的管脚DIG和SEG我就没有明白是什么意思,所以在和老师的交流过程中我意识到自己的硬件知识不足,以后要在这方面多努力。

这次课程设计激起我对EDA更多的兴趣,在以后的一定会投入更多的时间去学习。

这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各

种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

简易洗衣机控制课程设计

洗衣机控制器设计 一.设计要求 启动—→正转20s —→暂行10s—→反转20s—— (定时未到) —→暂行10 s———(定时到)——→停止 如果定时时间到,则停机并发出音响信号。 (1).采用中小规模集成芯片设计制作一个电子定时器,按照一定的洗涤程序控制电机作正向和反向转动。 (2).电机用2个继电器控制,洗涤定时时间在0—20min内由用户任意设定。(3).用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 (4). 如果定时时间到,则停机并发出音响信号。 (5). 洗涤过程在送入预置时间后即开始运转。 二.方案选择及电路的工作原理 1.方案选择 从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没有电机给我们接上,这回要用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的

分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194 来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194一定可以很容易实现。 2.工作原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 三.设计的具体实现 设计思路 (1)本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循

全自动洗衣机PLC控制课程设计

目录 第一章:全自动洗衣机概述及PLC应用 (2) 1、1全自动洗衣机发展概括 (2) 1、2 全自动洗衣机的PLC应用 (2) 1、3 PLC的选择 (2) 第二章:全自动洗衣机工作及要求 (2) 2、1全自动洗衣机控制要求 (2) 2、2全自动洗衣机的工作流程及原理 (3) 第三章:接线图及原理图 (4) 3、1控制系统硬件接线图 (4) 3、2控制系统原理图 (5) 第四章:程序流程图及说明 (5) 4、1程序流程说明、 (5) 4、2程序的流程图 (6) 第五章:全自动洗衣机PLC控制系统程序 (7) 5、1输入输出地址及定时器,计数器 (7) 5、2程序编制 (8) 第六章:参考文献 (16) 第七章:总结 (16) 第一章:全自动洗衣机概述及PLC应用 1、1全自动洗衣机发展概括 目前世界洗衣机年总产量近5000万台,而全自动洗衣机的产量呈增长趋势,在技术性能上正向着节水,节能,高效结构更趋合理的方向发展。微电脑控制功能,新型的洗涤方式,高

速脱水以及低噪音等方面都有了很大的提高。 近几年,我国的洗衣机制造技术得到迅速发展,从生产单桶波轮式,双桶波轮式洗衣机逐步向套桶波轮式全自动洗衣机与滚轮式全自动洗衣机方向发展,其中全自动洗衣机的年产量已占洗衣机总产量的10%左右。生产规模不断扩大,技术工艺日趋完善,产量质量逐步提高,以生产出技术性能优良的多种品牌的全自动洗衣机供应市场。 1、2 全自动洗衣机的PLC应用 洗衣机需要更好的满足人们的需求,必须借助于自动化技术的发展。而随着PLC技术的发展,用PLC来作为控制器,就能很好的满足全自动洗衣机对自动化的要求,并且控制方式灵活多样,控制模式可以根据不同场合的应用而有所不同。自动化技术的飞速发展使得洗衣机由初始的半自动洗衣机发展到现在的全自动洗衣机,又正在向自能化洗衣机方向发展。 1、3 PLC的选择 本设计应用了西门子公司生产的具有高性能价格比的微型可编程控制器S7—200系列PLC,设计实现全自动洗衣机控制系统。该系统充分利用了可编程控制器的多方面设计知识与方法,使该系统可靠稳定,使其应用范围得到扩展。 第二章:全自动洗衣机基本结构 2、1全自动洗衣机控制要求 1、全自动洗衣机控制系统的要求: (1) 按下启动按扭及水位选择开关,开始进水直到高(中、低)水 位,关水 (2) 2秒后开始洗涤 (3) 洗涤时,正转30秒,停2秒,然后反转30秒,停2秒 (4) 如此循环5次,总共320秒后开始排水,排空后脱水30秒 (5) 开始清洗,重复(1)~(4),清洗两遍 (6) 清洗完成,报警3秒并自动停机 (7) 若按下停车按扭,可手动排水(不脱水)与手动脱水(不计数) 2、2全自动洗衣机的工作流程与工作原理 1.全自动洗衣机的工作流程 全自动洗衣机的单循环工作流程示意图

基于西门子plc全自动洗衣机课程设计

摘要本文介绍了利用西门子系列PLC对全自动洗衣机控制系统总体控制,阐述了控制方案。实现全自动洗衣机控制系统总体控制有多种,可以采用早期的模拟电路、数字电路或模数混合电路。近年来随着科技的飞速发展,单片机、PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新。本文采用德国西门子公司生产的S7-200型PLC 作为核心控制器进行全自动洗衣机控制系统的设计,并且设计出了系统结构图、程序指令、梯形图以及输入输出端子的分配方案。同时根据全自动洗衣机控制系统总体控制要求和特点,确定PLC 的输入输出分配,并进行现场调试 ?关键字:PLC 全自动洗衣机控制系统 PLC程序设计 目录

设计体会 (21) 1 引言 从古到今,洗衣服都是一项难于逃避的家务劳动,在洗衣机出现以前,这项劳动并不像田园诗描绘的那样充满乐趣、手搓、脚踩、棒击、冲刷、摔打。这些不断重复的简单的体力劳动,留给人的感受常常是辛苦劳累。

1874年,“手洗时代”受到了前所未有的挑战——美国人比尔·布莱克斯发明了木制手摇洗衣机。 1880年,美国又出现了蒸汽洗衣机,蒸汽动力开始取代人力。之后,水力洗衣机,内燃机洗衣机也相继出现。 1911年,美国试制成功世界上第一台电动洗衣机,标志着人类家务劳动自动化的开端。1922年,电动洗衣机迎来一种崭新的洗衣方式——搅拌式。搅拌式洗衣机由美国玛依塔格公司研制成功。 70年代后期,微电脑控制的全自动洗衣机出现引领新的发展方向,让人耳目一新。 90年代,由于电动机调速技术的提高,洗衣机实现了较宽范围的转速变换与调节,诞生了许多新水流洗衣机。 全自动洗衣机其特点是能自动完成洗涤,漂洗和脱水的转换,整个过程不需要人工操作。这类洗衣机均采用套筒式结构,其进水,排水都采用电磁阀,由程序控制器按人们预先设计好的程序不断发出指令,驱动各执行器件动作,整个洗衣过程自动完成。所用的程序控制器可分为电动机驱动式和单片机式。从控制方式的发展阶段上分: 全自动洗衣机可分为两大类: 第一类电动控制洗衣机,它的程序控制器由电动元件组成。 第二类是电脑控制洗衣机,它的程序控制器由微型计算机组成。电动控制全自动洗衣机是较早出现的自动控制类家用电器,其产品类型还属于传统的机械产品,是自动控制的初级阶段。随着计算机的及微电子技术的发展,自动控制系统正在逐步实现硬件化。因此,电动控制洗衣机将逐步退出家电舞台。

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

全自动洗衣机课程设计教学内容

全自动洗衣机的设计 一、题目《全自动洗衣机的设计》 1.1全自动洗衣机的介绍 洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,而全自动式洗衣机因使用方便更加得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成,控制器通常设有几种洗涤程序,对不同的衣物可选择不同的洗涤方式。 1.2全自动洗衣机的发展背景 从古到今,洗衣服都是一项难于逃避的家务劳动,而在洗衣机出现以前,对于许多人而言,它并不像田园诗描绘的那样充满乐趣,手搓、棒击、冲刷、甩打……这些不断重复的简单的体力劳动,留给人的感受常常是:辛苦劳累。 1858年,汉密尔顿·史密斯制成了世界上第一台洗衣机。1874年,“手洗时代”受到了前所未有的挑战,美国人比尔·布莱克斯发明了世界上第一台人工搅动洗衣机。1911年美国人又研制了世界上第一台电动洗衣机。1920年美国的玛依塔格公司又把洗衣机的木制桶改为铝制桶体,第二年又把铝制桶体改为外层铸铝、内层为铜板的双层结构。1936年,他们又将搪瓷用于洗衣机桶体。与此同时,世界各地也相继出现了洗衣机。欧洲国家研究成功了喷流式洗衣机和滚筒式洗衣机。 1932年后,美国一家公司研制成功了第一台前装式滚筒全自动洗衣机,洗涤、漂洗和脱水都在同一个滚筒内自动完成,使洗衣机的发展跃上了一个新台阶。 这种滚筒洗衣机,目前在欧洲、美洲等地得到了广泛的应用。 第二次世界大战结束后,洗衣机得到了迅速的发展,研制出具有独特风格的波轮式洗衣机。这种洗衣机由于其波轮安装在洗衣桶底,又称涡卷式洗衣机。 近几十年,在工业发达国家,全自动洗衣机制造技术又得到迅速发展,其年总产量及社会普及率均以达到相当高得水平。像我国的世界500强海尔,由一个频临破产的企业一跃成为全球洗衣机顶级制造商。它制造的海尔洗衣机畅销全球。 1.3全自动洗衣机的发展前景 全自动洗衣机的发展首先表现在洗涤方式发生巨大变化。原先大多侧重于水流的改变、动力的加大。现在,超音波、电解水、臭氧和蒸汽洗涤的运用,使洗衣机的去污能力从单纯依靠洗衣粉、洗涤剂的化学作用和强弱变化的水流机械作用,向更高层次的健康、环保洗涤方式转变,特别是电解水、超音波技术在洗衣机行业的运用几乎改变了洗衣机的历史——洗衣不用或少用洗衣粉、洗涤剂,减少化学品对皮肤的损害和对环境的污染。电解水、臭氧、蒸汽的杀菌除味及消毒功能倍受青睐,引发了洗衣机消费健康潮。 另一变化就是高度自动化、智能化、人性化。从半自动、全自动到现在流行的人工智能、模糊控制,只需按一下按钮一切搞定!同时,用户可以按照自己的洗衣习惯,自主选择时间和方式,自编和记忆程序让用户真正做到随心所欲。人性化还表现在使用的方便和舒适,如子母分洗洗衣机可以做到不同衣物分开洗;斜桶和顶开滚筒可以做到取放衣物方便不需深弯腰;蒸汽烘干功能使得晾晒更加方便,DD直驱电机在节能降噪方面效果更加突出,等等。 另外,大容量成为不变的消费趋势。前几年,洗衣机容量多为4-5公斤,

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

PLC全自动洗衣机课程设计报告书

目录 前言 (1) 第一章全自动洗衣机控制系统方案 (2) 1.1 PLC控制系统的设计的基本准则 (3) 1.2 PLC的简要介绍 (4) 1.3 PLC的控制特点 (5) 1.4洗衣机的PLC控制系统 (6) 1.5控制系统理论 (7) 1.6主电路原理图………………………………………. 第二章可编程控制器的硬件设计…………………… 2.1可编程控制器的选择…………………………….. 2.2可编程控制器I/O口分配表……………………… 2.3外部接线图…………………………………….. 第三章可编程控制器的软件设计……………………. 3.1 全自动洗衣机的控制要求…………………………3.2 洗衣机工作流程图…………………………………3.3控制系统的梯形图……………………………….. 第四章课程设计体会………………………………… 参考文献………………………………………………..

前言 随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。洗衣机的全自动化、多功能化、智能化是其发展方向。基于全自动洗衣机的应用日益广泛,利用PLC控制,与传统的继电器逻辑控制系统相比较,其特点是能自动完成洗涤,漂洗和脱水的转换,整个过程不需要人工操作,可靠性、节能性得到了提高。PLC控制不需要大量的活动部件和电子元器件,它的接线也大大减少,与此同时系统维修简单、维修时间缩短。

基于mcgs组态的全自动洗衣机课程设计

基于mcgs组态的全自动洗衣机课程设计 摘要:随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国内家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗对衣机的操作更方便、更人性化,本论文就怎样利用MCGS来仿真来控制全自动洗衣机进行了调查,对其中程序、动画效果等问题进行了分析和研究,实现了全自动洗衣机的正常运行和强制性停止功能。 关键词:全自动洗衣机;控制 ABSTRACT with the development of social economy and improvement of science and technology, the family electric appliance entire automation becomes the inevitable development tendency. Full automatic washing machine produced a great convenience to people's life. Washing machine is the domestic electrical appliances industry does not only make price war industry, after several years of steady development, domestic washing machine both in quality or function and world advanced level synchronization. The washing machine market, high efficiency and energy saving, water saving, energy saving, environmental protection type washing machine always occupy the dominant position in the market.Although the washing machine has a great development, but consumer demand increases. In order to make the washing of clothing machine operation more convenient, more humane, the paper on how to use MCGS to simulation to control the automatic washing machine was investigated, the program, animation and other problems are analyzed and studied, realize the full automatic washing machine and the normal operation of the

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

自动洗衣机课程设计报告

课程设计 课程名称: 学院:专业: 姓名:学号: 年级:任课教师: 年月日

文档编写格式,本页在报告完成后删除目录为参考格式,可根据具体情况修改,目录应为超链接形式。正文中文为宋体小四,其他(包含程序字体)为Consolas,段落间距1.3。除在附录总附上图纸外,还需要打印A3大小图纸两张,内容包括:1、控制电路设计原理图,绘制软件为Altium Designer,右下角包含以下信息:大图名课程名称指导教师制图人班级学号姓名制图时间2、系统仿真图(proteus),如无仿真则不用,但需绘制系统PCB(Altium Designer)。 原理图例:

目录 目录 键入章标题(第1 级) (1) 键入章标题(第2 级) (2) 键入章标题(第3 级) (3) 键入章标题(第1 级) (4) 键入章标题(第2 级) (5) 键入章标题(第3 级) (6) 1.1设计背景.............................................................................X 1.2设计要求.............................................................................X 1.3设计思路简介.........................................X 第2章系统开发平台与环境.................................X 1.1 XXXXXXXXX开发环境简介.........................................X 2.2 XXXXXXXXX开发环境简介............X ............. ............. ............. 第3章XXXX硬件系统设计过程...............................X 3.1 XXXX硬件系统总体框图 .................................X 3.2 XXXX硬件系统元件选型 .................................X

PLC专业课程设计全自动洗衣机梯形图

PLC专业课程设计全自动洗衣机梯形图

电气控制与PLC 课程设计 题目: 全自动洗衣机梯形图控制系统设计 院系名称:机电工程学院 专业班级: 09机械电子工程 学生姓名: 学号: 指导教师: xx 设计地点: xxx 设计时间: xxxx

摘要 随着社会的不断发展,全自动洗衣机已经越来越普遍的应用在人们生活当中,其控制方式也是多种多样,各有千秋。 本文是基于三菱FX2N系列可编程控制器的全自动洗衣机梯形图控制系统的设计,三菱FX2N系列可编程控制器指令丰富,编程容易,功能扩展方便,修改灵活,而且结构简单,抗干扰能力强,可以接各种输出、输入扩充设备,有丰富的特殊扩展设备,其中的模拟输入设备和通信设备更是符合全自动洗衣机控制系统的要求与特点。 本文选择三菱FX2N-24MR为核心部件,着重进行硬件接口设计,利用梯形图和语句表进行编程,实现了全自动洗衣机控制系统的自动化。 关键词:PLC;步进梯形图;顺序控制

目录 1 引言 0 系统背景描述 0 系统控制要求 (1) 2. 系统设计方案 (2) 系统功能描述 (2) 方案的论证 (4) (5) 3 硬件电路设计 (6) PLC选型 (6) 水位传感器的选择 (6) 接触器的选择 (7) 继电器的选择 (7) 进水阀的选择 (7) 排水阀的选择 (8) 电动机的选择 (8) I/O点分配 (10) I/O接线图 (11) 4软件设计 (12) 控制方案 (12) 全自动洗衣机控制程序流程图 (13) (14) 中间变量的记录 (16) 系统调试 (17) 设计心得 (18) 参考文献 (19) 附录指令表视图 (20)

(完整版)(三菱FX)全自动洗衣机的PLC控制课程设计

长春工程学院 课程设计报告册 题目全自动洗衣机的PLC控制 系别机电学院 专业机械电子工程 班级机电xxx班 学号xxxx 姓名xxxx 指导教师xxx 二○一一年7 月4日 一.设计课题: 全自动洗衣机的PLC控制

二。课题内容: 全自动洗衣机运行框图及梯形图控制程序的编制,并画出硬件接线图。 三.设计目的: 1 . 进一步掌握和巩固PLC控制的基本知识 2.掌握PLC程序的设计及调试方法 3.学会查阅有关专业资料及设计手册 四.程序设计任务及要求 1.控制要求 按下启动按钮及水位选择开关,开始进水直到高(中、低)水位,关水 (1)2秒后开始洗涤 (2)洗涤时,正转30秒,停2秒,然后反转30秒,停2秒 (3)如此循环5次,总共320秒后开始排水,排空后脱水30秒 (4)开始清洗,重复(1)-(4),清洗两遍 (5)清洗完成,报警3秒并自动停机 (6)若按下停车按钮,可手动排水(不脱水)和手动脱水(不计数) 五.PLC全自动洗衣机设计的意义 PLC控制全自动洗衣机的编程语言容易掌握,是电控人员熟悉的梯形语言,使用术语依然是"继电器"一类术语,大部分与继电器触头的连接相对应,使电控人员一目了然. PLC控制使用简单,它的I/O做好,输入输出信号可直接连接,非常方便,而输出口具有一定驱动能力,其输出触头容易达220V.2A. PLC是专门应用手工业现场自动控制装置,再系统软硬件上采用抗干扰措施. 当工作程序需要改变时,只需改变PLC的内部,惊醒重新编程而无需对外围进行重新改动. 从这些方面突出了使用PLC控制全自动洗衣机的优越性. 六.PLC机型 日本三凌公司的F系列PLC:FX1S系列 七.控制全自动洗衣机的课题思路

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

全自动洗衣机课程设计

全自动洗衣机课程设计

目录 摘要 (1) 第一章绪论 (2) 1.1课题的研究背景 (2) 1.2 洗衣机的发展史 (2) 1.3 洗衣机的分类与命名规则 (3) 1.3.1 洗衣机的分类 (3) 1.3.2 洗衣机的命名规则 (4) 1.4 课题研究的目的与意义 (5) 第二章波轮式全自动洗衣机总体设计 (6) 2.1波轮式洗衣机总体结构 (6) 2.2 支承系统和箱体 (8) 2.3 进水、排水系统 (8) 2.4洗涤、脱水系统 (13) 2.5电动机和传动系统 (13) 2.6控制系统 (20) 课程设计总结 (20) 致谢 (22) 参考文献 (23)

摘要 本次设计主要采用PLC控制技术来设计全自动洗衣机控制系统,跟传统的洗衣机相比更具有智能,实时监控,人性化的功能。本系统最大的优点集中体现在:实现功能齐全、外围电路简单、时间计算精确以及可维护方便等。具有可靠性高、安全性好、开发价值高等一系列优点。 可编程控制器(PLC)是以计算机技术为核心的通用自动化控制装置,它的功能性强,可靠性高,编程简单,使用方便,体积小巧,近年来在工业生产中得到广泛的应用,被誉为当代工业自动化主要支柱之一。在现代的社会,全自动洗衣机进入各个家庭,本文介绍了PLC用于全自动洗衣机的控制系统,其可改进现有技术的不足,简化结构,有利于降低成本和提高可靠性。 关键词:PLC、洗衣机、全自动

第一章绪论 1.1课题的研究背景 本次设计基于PLC的全自动洗衣机控制,本文的课题源于市场上洗衣机产品。采用PLC控制开发的周期短,开发成本低,可以直接用于工业现场控制。PLC控制具有实时性、信号处理时间短、速度快、更能满足各个领域大、中、小型工业控制项目,可靠性高,丰富的I/O卡件,质优价廉,性价比高,安装简单,维修方便,PLC控制能在高粉尘、高噪声、强电磁干扰和温度变化剧烈的环境下正常工作。因为它是整体模块,集中了驱动电路、检测电路和保护电路以及通讯联网功能,所以在使用中,硬件相对简单,编程语言也相对简单,并且测试容易,维修方便,更可以提高控制系统设计的灵活性及控制系统的可靠性。本设计以操作简单、使用可靠、维护修理方便作为主要设计方向。 1.2 洗衣机的发展史 从古到今,洗衣服都是一项难于逃避的家务劳动,在洗衣机出现以前,这项劳动并不像田园诗描绘的那样充满乐趣、手搓、脚踩、棒击、冲刷、摔打。这些不断重复的简单的体力劳动,留给人的感受常常是辛苦劳累。世界上第一台洗衣机于1858年诞生,但这台洗衣机使用费力,且损伤衣服,因而没被广泛使用,但这却标志了用机器洗衣的开端。1874年,“手洗时代”受到了前所未有的挑战,美国人发明了木制手摇洗衣机。1880年,美国发明了蒸气洗衣机,蒸气动力开始取代人力。蒸汽洗衣机之后,水力洗衣机、内燃机洗衣机也相继出现。1910年,美国试制成功世界上第一台电动洗衣机,电动洗衣机的问世,标志着人类家务劳动自动化的开端。1922年,美国改造了洗衣机的洗涤结构,把拖动式改为搅拌式,使洗衣机的结构固定

数电课程设计洗衣机定时控制器

数字电子技术课程设计报告题目:洗衣机定时控制器 班级:

洗衣机定时控制器 一、设计任务及要求: 1.设计脉冲信号源(秒脉冲) 2.至少能显示1 :00—12:59 3.具备校时功能 4.附加特殊功能设计(报时功能) 二、方案设计与论证: 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时的功能。其中有振荡器,分频器,校时电路,报时电路,计数器,译码器和显示器七部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准 方案一:使用COMS数字芯片,使用专用时钟芯片,使用十进制计数器,以及使用万用板焊接电路,分模块搭建电路,使用专用电源供电。优点:计时准确,反应灵敏,思路简单,性能稳定,成功率高,便于调试。缺点:

驱动能力弱,走线复杂,对数电知识的利用并不充分。 方案二:使用TTL 数字芯片,使用74LS93 多进制计数器,用555 定时器自建时钟模块,使用USB 供电,使用PCB 制板。优点:电路驱动能力强,不必考虑输入脚悬空的问题,充分利用了模电、数电的知识,外观漂亮,供电方便。缺点:整体布局比较麻烦,排查错误比较麻烦,时钟性能一般。在比较两个方案的优缺点后,选择了第二个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。通过仿真,原理图设计,PCB 制作,分步骤调试,来解决方案二的不足。使做出来的效果又好,又能充分利用学过的数电知识。可以体现数电课设的真正内涵。我们设计的电子钟,严格按照设计要求,具有整点报时,调时,调分等功能;特别是,我们的调时调分开关,都加上了消抖电路,使用了模拟电路消抖,省去了一些数字芯片,这些都是我们组,区别于其他组的地方。设计原理及框图 定时控制器实际上是一个对标准频率进行计数的计数电

简易洗衣机控制器

I 简易洗衣机控制器 摘要: 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗衣机的操作更方便、更人性化,根据设计的要求主要采用单片机设计简易洗衣机的控制系统,控制电动机正反转的时间,在对洗衣总时间进行设定后,洗衣机能完成洗衣过程。它的CPU 采用51单片机,根据设计需要增加一些按键设定时间和数码管显示时间。在满足输入/输出接口及其他硬件的情况下,再进行软件设计。首先是了解其工作流程,绘制功能流程图。然后,制作硬件编写程序实现。本设计主要实现了对洗衣机的定时设置,及控制洗衣机暂停和开始,能显示计时时间,计时结束能发声报警。 关键词 洗衣机、控制、单片机 Abstract :In spite of the washing machine has made great development, but the demands of consumers. To make washing machine operation more convenient, more human, according to the requirement of the design is mainly design simple washing machine control system by single chip microcomputer, the control motor and reversing time, after set laundry total time for washing machine can finish the laundry process. Its CPU USES 51 single chip microcomputer, according to the design needs to add some buttons to set time and digital tube display time. In meet the under the condition of input/output interface, and other hardware, and software design. First of all is to understand its working process, flow chart drawing function. Then, make the hardware programming implementation. This design mainly realized the timing set of washing machine, washing machine and control pause and start, can show the timing time, end time can voice alarm. Key words :Washing machines, control, simple and easy

全自动洗衣机课程设计论文值得参考

内容摘要 本次课程设计的主要任务是全自动洗衣机控制系统设计,主要目的是采用可编程控制器(PLC)作为核心控制部件的全自动洗衣机控制系统的设计,这不仅是对所学知识的检验,更是对所学知识的巩固。本文主要介绍了洗衣机的结构,对全自动洗衣机的控制系统进行了分析,在此基础上提出了基于可编程控制器(PLC)的全自动洗衣机控制方案,并对方案进行了论证,根据洗衣机的工作原理,设计了流程及程序,对按钮及其它一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于洗涤,排水,脱水的时间均由PLC内计计时器控制,所以只要改变计时器参数就可以改变时间。我所设计的全自动洗衣机控制系统具有智能化程度高、安全可靠、方便、灵活等特点,满足本次课程设计的要求。 关键词:可编程控制器;全自动洗衣机;I/O分配表;

目录 第一章引言 (1) 第二章系统总体方案设计 (2) 2.1 系统硬件配置 (2) 2.2 系统组成原理 (4) 2.3 系统可靠性设计 (5) 第三章PLC控制系统设计 (7) 3.1 控制要求分析,设计主电路 (7) 3.2 确定I/O信号数量,选择PLC类型 (11) 3.3 PLC主机I/O分配表 (13) 3.4 PLC主机I/O接线图 (14) 3.5 控制程序的编制 (15) 3.6 控制程序的调试 (21) 结论 (25) 设计总结 (26) 谢辞 (27) 附录 (28) 参考文献 (29)

第一章引言 从古到今,洗衣服都是一项难于逃避的家务劳动。然而在洗衣机出现以前,对于许多人而言,它并不像田园诗描绘的那样充满乐趣,手搓、棒击、冲刷、甩打,这些不断重复的简单的体力劳动,常常留给人的感受是:辛苦劳累。于是人类想怎样用身边的材料来帮人们干活,来减轻人们的体力劳动。 洗衣机就是人类智慧的结晶,它用来帮助人们解脱双手节省体力。随着时代的发展,人们并不满足那些简单的机器,只想要把脏衣物放进去,最后出来的就是一件干干净净、毫无损伤并且可以直接穿的衣服。人类凭借智慧和勤劳,终于就产生了现在的全自动洗衣机。 这篇设计论文是以西门子S7-200为主要载体,结合它对应的编程软件来对全自动洗衣机的控制进行编程,我不仅对它的硬件做了一些认知,还对这样一个程序对应的接口做了些了解。 根据全自动洗衣机的工作原理,利用可编程控制器PLC实现控制,说明了PLC控制的原理方法,特点及控制洗衣机的特色。全自动洗衣机控制系统利用了西门子S7-200系列PLC的特点,对按钮、电磁阀、开关等其他一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于每遍的洗涤,排水,脱水的时间由PLC内定时器和计数器控制,所以只要改变定时器和计数器参数就可以改变时间。我们可以把上面设定的程序时间定下来,作为固定程序使用,充分表现现代家电品的实用性。 在PLC问世之前,尤其是在洗衣机控制方面,工业控制领域中是继电器占主导地位。但是继电器控制领域有着十分明显的缺点:体积大、耗电多、可靠性、寿命短、运行速度慢、适应性差、尤其当生产工艺发生变化时,就必须重新设计、重新安装,造成时间和资金的严重浪费。为了改变这一现状,PLC控制系统产生了。继1969年美国数字设备公司研制出世界第一台PLC,并且在通用汽车公司自动装配线上试用获得了成功,从而开创了工业控制新时期,从此可编程控制器这一新的控制技术迅速发展起来了。这一技术在许多领域都有广泛的应用,洗衣机当然也不例外。PLC的优点是:可靠性高,耗电少,适应性强,运行速度快,寿命长等。为了进一步提高全自动洗衣机的功能和性能,避免传统控制的一些弊端,就提出了用PLC来控制全自动洗衣机这个课题。

相关文档
最新文档