信号发生器设计报告

信号发生器设计报告
信号发生器设计报告

1、实验任务与要求

设计一个信号发生器,独立完成系统设计,要求能实现以下功能: (1)能产生方波、三角波、正弦波 (2)能实现频率可调

2、实验目的:

(1)进一步巩固熟悉简易信号发生器的电路结构及电路原理并了解波形的转变方法; (2)学会用简单的元器件及芯片制作简单的函数信号发生器,锻炼动手能力; (3)学会调试电路并根据结果分析影响实验结果的各种可能的因素

3、设计方案论证:

信号发生器一般由一个电路产生方波或者正弦波,通过波形变换得到其他几种波形。考虑到RC 震荡产生正弦波的频率调节不方便且可调频率范围较窄,本设计采用先产生方波,后变换得到其他几种波形的设计思路。

采用555组成的多谐振荡器可以在接通电源后自行产生矩形波,再通过积分电路将矩形波转变为三角波,再经积分网络转变为正弦波。

波形转变框架图

思路

积分器(低通滤波) 积分器波

﹍ 4整体电路设计和分析计算

㈠555定时器接成多谐振荡器工作形式产生方波

(1)555定时器芯片工作原理,功能及应用

555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。

555定时器产品有TTL 型和CMOS 型两类。TTL 型产品型号的最后三位都是555,CMOS 型产品的最后四位都是7555,它们的逻辑功能和外部引线排列完全相同。

555定时器的电路如图9-28所示。它由三个阻值为5k ?的电阻组成的分压器、两个电压比较器C1和C2、基本RS 触发器、放电晶体管T 、与非门和反相器组成。

多谐振荡器 方波 三角波

正弦

555定时器原理图

分压器为两个电压比较器C1、C2提供参考电压。如5端悬空(也可对地接上0.01uF 左右的滤波电容),则比较器C1的参考电压为2 Vcc 3 ,加在同相端;C2的参考电压为Vcc

3 ,

加在反相端。

u11是比较器C1的信号输入端,称为阈值输入端;u12是比较器C2的信号输入端,称为触发输入端。

 ̄RD 是直接复位输入端。当 ̄RD 为低电平时,基本RS 触发器被置0,晶体管T 导通,输出端u0为低电平。

u11和u12分别为6端和2端的输入电压。当u11>2 Vcc 3 ,u12>Vcc

3 时,C1输出为低

电平,C2输出为高电平,,基本RS 触发器被置0,晶体管T 导通,输出端u0为低电平。 当u11<

2 Vcc

3 ,u12

3

时,C1输出为高电平,C2输出为低电平,基本RS 触发器被置1,晶体管T 截止,输出端u0为高电平。 当u11<2/3Ucc ,u12>1/3Ucc 时,基本RS 触发器状态不变,电路亦保持原状态不变。

◆ 综上所述,可得555定时器功能如表所示。

◆ 555定时器的电路功能

输 入 输 出

阀值输入(V11)

触发输入(V12)

复位( ̄RD) 输出(V0)

放电管T ×

<

2 Vcc

3

>

2 Vcc

3

<

2 Vcc

3

× <

Vcc

3

>

Vcc

3

>

Vcc

3

1 1 1

0 1 0 不变

导通

截止 导通 不变

(2)用555定时器组成的多谐振荡器如下图所示

接通电源后,电容C2被充电,当V c 上升到2 Vcc

3 时,

使V0为低电平放电三极管T 导通,此时电容C2通过R3.R7.T 放电,V c 下降。当V c 下降到Vcc 3

时,V0翻转为高电平。

放电结束时,T 截止,Vcc 通过R2→R3→RP→C2向电容C2充电,当V c 从Vcc 3 上升到2 Vcc

3 时,电路又翻转为

低电平。如此周而复始,在输出端得到一个周期性的矩形波。 波形图大致如下

电容C2放电所需的时间为:

Tpl=(R3+RP ’)C2㏑2 1-1 电容C2充电所需的时间为:

Tph=(R3+R2+RP ’)C2㏑2 1-2

占空比= Tph

Tpl +Tph

1-3

振荡频率f =

1

(Tpl +Tph )

1-4

㈡积分电路产生三角波

电路的时间常数R*C ,构成积分电路的条件是电路的时间常数必须要大于或等于10倍于输入波形的

宽度。

Uo=Uc=(1/C)∫icdt,因Ui=UR+Uo,当t=to时,Uc=Oo.随后C充电,由于RC≥Tk,充电很慢,所以认为Ui=UR=Ric,即ic=Ui/R,故

Uo=(1/c)∫icdt=(1/RC)∫Uidt

这就是输出Uo正比于输入Ui的积分(∫Uidt)

RC电路的积分条件:RC≥Tk

当输入信号为方波时,积分电路的输出为三角波

㈢RC低通滤波器

1、电路的组成

所谓的低通滤波器就是允许低频信号通过,而将高频信号衰减的电路,RC 低通滤波器电路的组成如图所示。

三角波可以分解成由无数不同频率的正弦波组成的复合波。当输入信号为三角波时,用低通滤波器将其高频成分滤掉后,波形将不再有尖顶部分,波形变得圆滑,从而变成类正弦波。

波形大致如下

图中的相位移动是由于RC网络成感性引起的。

2、电压放大倍数

令,则 R C低通电路的频响特性

的模和幅角为RC低通电路的幅频特性

RC低通电路的相频特性

5电路仿真分析

由上面分析可以做出如上图所示的电路原理图

上图中发光二极管支路为电源指示支路,二极管正常发光,说明电路供电正常;C1滤

掉电源中的交流不稳定成分;C4为隔直电容,滤掉多谐振荡器中输出中的直流成分;R4与C5组成积分电路,将方波变成三角波;然后经过两级滤波将三角波高频分量去除,变成类正弦波输出。

6电路安装与调试

Multisim仿真电路图如下

仿真波形图

上图从上到下为方波、三角波、一次滤波输出、二次滤波输出。

一次滤波和二次滤波的输出均为类正弦波,不考虑干扰情况下,二次输出的波形由于滤掉了更多的高频分量,理论上更接近正弦波。

Multisim仿真结果

RP=0%时

仿真波形周期峰峰值

矩形波 1.272ms 4.998v

三角波 1.272ms 333.147mv

一次滤波的正弦波 1.272ms 48.983mv

二次滤波的正弦波 1.272ms 9.854mv

RP=100%时

仿真波形周期峰峰值

矩形波969.828us 5.008v

三角波969.828us 255.533mv

一次滤波的正弦波969.828us 30.351mv

二次滤波的正弦波969.828us 4.802mv

7实验结果和误差分析

实物测量的结果如下:

RP=0%时

仿真波形周期峰峰值

矩形波250us*6=1.5ms 3.4v

三角波 1.5ms 300mv

一次滤波的正弦波 1.5ms 68mv

二次滤波的正弦波 1.5ms 8mv

RP=100%时

仿真波形周期峰峰值

矩形波250*3.2=800us 3.4v

三角波800us 170mv

一次滤波的正弦波800us 32mv

二次滤波的正弦波800us 3mv

误差分析:

1.测量时直流电源引起的误差

在MULTISIM仿真过程中,直流电源VCC接的是5V,而实际中的电源并不是准确的+5v。

2.元器件误差

在购买元器件时,没买到62K电阻和20K电位器,用68K电阻和47K的电位器代替,从理论上讲,这样的调整会加大频率的可调范围。

在MULTISIM上仿真时,各种元器件的值都是按标准值计算的,而在实际的测量中,各种元器件的值都与标准值有出入。

3.焊接时导线引起的误差

在电路焊接的过程中,焊点、导线等也存在着不可避免的误差

4.测量是各种仪器仪表引起的误差

5.人为误差

缺陷:在实验测量波形图发现测得的正弦波很不明显,波形频率的可调范围小,误差较大。

正弦波不明显的可能原因:因为此电路中的正弦波是从三角波经低通滤波器而来,由傅里叶变换将三角波转变为直流及正弦波各次谐波的形式经过R5.C6组成的低通滤波器输出来,可能含有多次谐波,使所得的正弦波失真,所以要改善正弦波,可以考虑改电容的大小使其他谐波的影响降低。

波形频率的可调范围小的原因:在本实验的电路图中电位器RP的最大值是20K,而R2有

62K所以波形的频率为f= f=1

(Tpl +Tph )≈

1.43

(R2+2R3+2RP’)C2

由该式子可知RP对整

个电路的频率影响不大,所以要想扩大频率范围可以尝试加大RP的阻值。

误差较大的原因:根据上述实验误差分析最后输出的波形应是每阶段误差的叠加,要减少误差,应该采用比较精确的仪器,而且本实验的设计也存在不妥之处,用三角波积分转变为正弦波在理想状态下也是一个近似值,而在实验过程中存在很多的干扰及试验中的累积性误差,是得到的波形存在较大的失真。还有很多影响的因素在实验之前没有考虑到。

8实验总结

本次试验的最终结果,是由多次调整得到的,在实践中,出现过以下问题:

1)第一次测量时,没能出现任何波形,所有的输出均为直线。最初判定原因为555芯片是坏的,因为只要芯片能正常工作,就不可能没有任何波形。但经过更换芯片后,仍然没有波形,说明电路焊接过程出现问题。后经过对照电路图发现,原因为555芯片的7脚没有连接到电路中,致使芯片电路无法对电容C2进行正常的充放电,使电路无法产生波形。现对555芯片7脚作用做一下简要介绍:

555芯片的多谐震荡电路要根据6引脚及2引脚上电压的大小,来决定3引脚输出高电平还是低电平。输出有高低变化,7引脚就有个对地截止和导通的变化. 当对地导通时,是放电。 6引脚和2引脚的的电压高低,与电容上的电压大小有关,电容上的电压,是VCC给他充的电压,如果电容C上的电压不变化,输出就不会有高和低的变化. 所以电容上的电压要由VCC充电,和7引脚对地放电,才会有输出端的高低电平输出。

2)在这个电路中,最初的设计只有一个正弦波输出端,也就是第二级滤波输出。但在实际测量中发现,这一级的滤波输出幅值相当之小,而且干扰很大,使波形很不清晰。

为解决干扰问题,首先想到的是滤掉高频干扰波,但是又示波器显示波形的干扰幅值较大,且频率与信号波形的差别并不是特别大。采用一般的如RC滤波的方法不仅不能有效滤波,还可能引入新的干扰,因为滤波级数越多,引入干扰的可能性越大,干扰可能越严重。考虑到这点,便想到了第一级的输出波形,经过测量,第一级的类正弦波波形清晰,干扰相对较小,但是与标准的正弦波波形的形状差别相对更大,有相对更大的失真。从这里也可以看出,滤波级数越多,信号衰减越严重,而且干扰越明显,但是信号形状更接近正弦波;滤

波级数越少,干扰越小,信号的强度也相对较大,但是有形状失真。两种方式都难以摆脱失真,无法得到想要的波形。

以上考虑说明,采用RC滤波在实际操作中存在着很难避免的问题,所以想到了更换实验原理。不采用RC滤波产生正弦波。在资料中查到了如下电路图

本电路可以将三角波转变成正弦波,其原理并未能弄透彻,仍然存在诸多疑点,如信号如何输入,在哪里取出,没有电容是不可能得到平滑正弦曲线的,这个电容要用多大,放在那个位置等,而且没能查到相关资料,所以未能付诸实施。

3)本电路未能进行方波占空比的调节,乃是设计指出就没考虑到这个指标。现可对其进行一下改进,使其占空比可调。在7脚与2脚之间接入一个电位器,利用二极管的单向导电性来改变电容C2的充放电时间常数R*C,从而改变占空比。调整后电路图及其电位器在100%时的波形图如下:

本波形图中,可以看出每隔四个周期,便会出现一个异常波形,原因是什么未能搞明白。还望老师能帮助解决。

4)本实验的电路,未能实现频率不变时的幅度调节,为解决此问题,可在输出前加一个放大器,在学过的电路中,单输入单输出的查分放大器在此较合适,电路图如左图:

左图为有源差分放大器,采用+-12v双电源供电,上面部分为差分放大器,左侧输入信号,右侧输出信号。下面部分为镜像恒流源,为放大器提供稳定的直流偏置。调节RP4可以调节放大倍数,从而调节输出信号的幅度。

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

单片机课程设计信号发生器

单片机课程设计实验报告 电子信息工程学院 指导教师:***

08年6月30日 单片机课程设计实验报告 一.系统总体介绍 1)题目意义: 这次课程设计的题目我选择的是信号发生器,我之所以选择这个题目的原因有三个 ①它是一个DA转换的实验,在前不久的市电子大赛中,我们做的是AD的转换,所 以想将模数/数模都熟悉一遍,为今后可能遇到的接口实验打下坚实的基础; ②另外一个原因是用到的芯片是MAX518,该芯片是串行数模转换,运用I2C总线, 通过这个实验可以更好的运用串行通信,同时能够学习I2C总线的协议,掌握了一 门新的总线,我觉得比其他实验收获更大; ③MAX518的时序比较复杂,通过练习针对时序的编程可以更好的提高自己读PDF 资料的能力和编程的能力。 2)本人所做的工作 这个实验从始至终都是自己完成的。 ①程序的编写,程序的编写是我结合MAX518的时序图编写出来的,编程的重点在于 对与MAX518的编程,在编程的过程中对于应答信号的理解和处理是整个程序的核心,在单步调试中能够很明显的观测到SDA和SCL信号线上电平的变化; ②四种波形的表格数据的建立。表格的建立是通过MATLAB函数产生的。其函数分别 为:正弦波y=round(127*sin(0:2*pi/256:2*pi))+127 锯齿波y=round(0:1:255) 三角波y=round(0:2:255) Y=round(255:2:0) 方波直接是0和255 由于MATLAB产生的数据之间含有回车和空格,不符合汇编语言的语法规则,所以要用WORD对所得的数据进行处理,利用WORD的查找替换同能讲回车和空格替换为英文的逗号,其中回车的表示方法为^p ③学习KEIL和SSTFlashFlex51.exe的使用 ④元器件的购买和焊接 ⑤实验报告的完成 3)系统的主要功能 该系统能够产生正弦波,锯齿波,三角波和方波四种波形,同时能够产生16HZ,12HZ,10HZ,8HZ四种频率,也就是可以产生4*4=16种信号,通过8个按键

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

模拟电路课程设计-函数信号发生器

模拟电路课程设计——函数信号发生器 一、设计任务和要求 1 在给定的±12V直流电源电压条件下,使用运算放大器设计并制作一个函 数信号发生器。 2 信号频率:1kHz~10kHz 3 输出电压:方波:Vp-p≤24V 三角波:Vp-p≤6V 正弦波: Vp-p>1V 4 方波:上升和下降时间:≤10ms 5 三角波失真度:≤2% 6 正弦波失真度:≤5% 二、设计方案论证 1.信号产生电路 〖方案一〗 由文氏电桥产生正弦振荡,然后通过比较器得到方波,方波积分可得三角波。三角波 这一方案为一开环电路,结构简单,产生的正弦波和方波的波形失真较小。但是对于三角波的产生则有一定的麻烦,因为题目要求有10倍的频率覆盖系数,然而对于积分器的输入输出关系为: 显然对于10倍的频率变化会有积分时间dt的10倍变化从而导致输出电压振幅的10倍变化。而这是电路所不希望的。幅度稳定性难以达到要求。而且通过仿真实验会发现积分器极易产生失调。 〖方案二〗 由积分器和比较器同时产生三角波和方波。其中比较器起电子开关的作用,将恒定的正、负极性的 方波 三角波 电位交替地反馈积分器去积分而得到三角波。该电路的优点是十分明显的: 1 线性良好、稳定性好;

2 频率易调,在几个数量级的频带范围内,可以方便地连续地改变频率, 而且频率改变时,幅度恒定不变; 3 不存在如文氏电桥那样的过渡过程,接通电源后会立即产生稳定的波 形; 4 三角波和方波在半周期内是时间的线性函数,易于变换其他波形。 综合上述分析,我们采用了第二种方案来产生信号。下面将分析讨论对生成的三角波和方波变换为正弦波的方法。 2.信号变换电路 三角波变为正弦波的方法有多种,但总的看来可以分为两类:一种是通过滤波器进行“频域”处理,另一种则是通过非线性元件或电路作折线近似变换“时域”处理。具体有以下几种方案: 〖方案一〗 采用米勒积分法。设三角波的峰值为,三角波的傅立叶级数展开: 通过线性积分后: 显见滤波式的优点是不太受输入三角波电平变动的影响,其缺点是输出正弦波幅度会随频率一起变化(随频率的升高而衰减),这对于我们要求的10倍的频率覆盖系数是不合适的。另外我们在仿真时还发现,这种积分滤波电路存在这较明显的失调,这种失调使输出信号的直流电平不断向某一方向变化。 积分滤波法的失调图(Protel 99 SE SIM99仿真) 而且输出存在直流分量。 〖方案二〗 才用二极管-电阻转换网络折线逼近法。十分明显,用折线逼近正弦波时,如果增多折线的段数,则逼近的精度会增高,但是实际的二极管不是理想开关,存在导通阈值问题,故不可盲目的增加分段数;在所选的折线段数一定的情况下,转折电的位置的选择也影响逼近的精度。凭直观可以判知,在正弦波变化较快的区段,转折点应选择的密一些;而变化缓慢的区段应选的稀疏一些。 二极管-电阻网络折线逼近电路对于集成化来说是比较简单,但要采用分立元件打接则会用到数十个器件,而且为了达到较高的精度所有处于对称位置的电阻和

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

基于运放的信号发生器设计

北京工业大学课程设计报告 模电课设题目基于运放的信号发生器设计 班级:1302421 学号:13024219 姓名:吕迪 组号:7 2015年 6月

一、设计题目 基于运放的信号发生器设计 二、设计任务及设计要求 (一)设计任务 本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激震荡而产生正弦波输出的电路。经过波形变换可以产生同频三角波、方波信号。(二)设计要求 基本要求:使用LM324,采用经典振荡电路,产生正弦信号,频率范围,360Hz~100kHz。输出信号幅度可调,使用单电源供电以及增加功率。 (三)扩展要求 (1)扩大信号频率的范围; (2)增加输出功率 (3)具有输出频率的显示功能。 三、设计方案 (一)设计框图 (二)设计方案选择思路 我们在模电课上学过几种正弦波振荡器的基本电路,包括RC串并联正弦波振荡器、电容三点式正弦波振荡器以及电感三点式正弦波振荡器。因为题目要求设计基于运放的正弦波发生器,我们就确定将RC串并联网络正弦波振荡器作为我们设计的基础电路,因为此振荡器适用于频率在1MHz一下的低频正弦波振荡器而且频率调节方便,我们打算先通过计算搭建RC 正弦波振荡电路,测试基本电路达到的频率及幅值范围,再在这一基础上进行放大,使频率及幅值与设计要求相符合,因此设计出了二级反向放大这一模块。最后,为了提高电路的输出功率,减小电路的输出阻抗,再设计电压跟随器这一模块来完善整个电路。由此,我们确定出三个模块:RC正弦波振荡电路,二级反向放大电路,电压跟随器,并准备从基础模块入手,分模块实现,并根据实际情况不断调整改进原先的设计方案。 (三)元器件清单 芯片:LM324*2 40106*1 二极管:1N4148*2 电容:10μF*1、10nf *4 电阻:2k*1 、10k*4、51k*1 、82k*1 、91k*1 、100k滑动变阻器*1、220k*1 电位器:50k双联*1、10k*2、50k*1 (四)芯片资料

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

相关文档
最新文档