数电课程设计-汽车尾灯的控制

数电课程设计-汽车尾灯的控制
数电课程设计-汽车尾灯的控制

课程设计任务书

学生姓名: *** 专业班级: 电信1405班

指导教师: *** 工作单位: 信息工程学院

题目: 汽车尾灯控制器的电路设计仿真与制作

初始条件:

利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。电路组成框图如图1 所示。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)

1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。

2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:

①汽车正常行驶时,尾灯全部熄灭。

②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。

③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。

④临时刹车时,所有指示灯同时闪烁。

⑤选择电路方案,完成对确定方案电路的设计。计算电路

元件参数与元件选择、并画出总体电路原理图,阐述基本

原理。制作实际运行装置。

3、查阅至少5 篇近5 年参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4 纸打印,图纸应符合绘图规范。

时间安排:

1)第1-2 天,查阅相关资料,学习设计原理。

2)第3-4 天,方案选择和电路设计仿真。

3)第4-5 天,电路调试和设计说明书撰写。

4)第6 天,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要 ............................. 错误!未指定书签。

1.总体设计.......................... 错误!未指定书签。

1.1主要工作安排................ 错误!未指定书签。

1.2设计任务与设计要求.......... 错误!未指定书签。

1.2.1设计任务.............. 错误!未指定书签。

1.2.2设计要求.............. 错误!未指定书签。

1.3方案论述与确定.............. 错误!未指定书签。

2.主要芯片介绍...................... 错误!未指定书签。

2.1 555定时器.................. 错误!未指定书签。

2.2 与门7408 ................... 错误!未指定书签。

2.3 异或门7486 ................. 错误!未指定书签。

2.4 二—五进制计数器............ 错误!未指定书签。

2.5 3线-8线译码器74138 ........ 错误!未指定书签。

2.6 四位二进制可预置的同步加法计数器74163错误!未指定书签。

3 电路设计.......................... 错误!未指定书签。

3.1 555时钟脉冲电路模块........ 错误!未指定书签。

3.2 三进制循环控制电路模块...... 错误!未指定书签。

3.3 译码显示电路模块............ 错误!未指定书签。

3.4 总体电路.................... 错误!未指定书签。

4.仿真 ............................. 错误!未指定书签。

4.1 仿真软件介绍................ 错误!未指定书签。

4.2 电路仿真.................... 错误!未指定书签。

5. 装配与调试....................... 错误!未指定书签。

5.1 装配与调试.................. 错误!未指定书签。

5.2 实物功能测试................ 错误!未指定书签。

6.总结 ............................. 错误!未指定书签。

摘要

本次设计的汽车尾灯控制电路是用数字电路实现的。汽车尾灯显示控制电路是汽车尾灯电路的重要组成部分,主要完成控制与驱动功能,具体电路由三进制计数器电路、汽车行驶状态开关模拟电路和汽车行驶状态显示电路三部分组成。

在本次设计中,使用555多谐振荡器来制作电路脉冲产生器,产生时钟脉冲。在三进制计数器电路部分用到了数字电路中的触发器、时序逻辑电路的设计和卡诺图的化简,使用到触发器芯片7476;在汽车行驶状态开关模拟电路部分则用到了组合逻辑电路中译码器及逻辑门电路,使用到3-8译码器芯片74138、与门芯片7408、与非门芯片7400和或门芯片7432等;在汽车行驶状态显示电路中用发光二极管模拟显示汽车正常行驶、左转、右转和紧急刹车的四种状态。

关键词:数字电路555多谐振荡器三进制计数器触发器发光二极管

. , , , , a .

, I 555 , a . , I , , I 7476 ; , a , 74138, 7408, 7400, 7432 ; , , .

: 555

1.总体设计

1.1主要工作安排

研究汽车尾灯控制电路是本文的重点,其主要工作安排如下:

首先,主要阐述本文研究的背景、意义、国内外研究现状及发展趋势;其次,提出两种不同的设计方案,通过计算比较采用较优的设计方案;再次,对所选方案中芯片进行介绍及设计各单元电路;最后,把各单元电路进行整合优化,得出总的设计电路图,达到预期的设计要求,并对所设计的电路进行仿真。

1.2设计任务与设计要求

1.2.1设计任务

设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关S1、S0选择控制汽车运行、右转弯、左转弯和刹车时尾灯的情况。

1.2.2设计要求

1、汽车正常运行时尾灯全部熄灭;

2、汽车左转弯时左边的三个发光二极管按顺序循环点亮;

3、汽车右转弯时右边的三个发光二极管按顺序循环点亮;

4、汽车刹车时所有的指示灯随脉冲同时闪烁。

1.3方案论述与确定

在设计初期共提出两种设计方案:

方案一

本方案利用晶振分频电路实现时钟脉冲信号,触发移位寄存器74197,从而使移位寄存器循环输出状态信号,再配合六个与非门实现对刹车和正常运行等情况时尾灯的闪烁情况控制,实现灯的循环点亮。其系统框图见图1.3.1所示。

图1.3.1 方案一的结构示意图

方案二

本方案设计采用555定时器实现时钟脉冲电路,产生触发由触发器构成的三进制计数器的脉冲信号,实现三进制循环;将三进制计数器的输出信号作为74138译码器地址端的输入信号,从而实现对灯的循环控制。通过对输入地址码的改变使译码器的不同输出端有效,再配合六个与非门实现对刹车和正常运行等运行情况时灯的闪烁情况控制,其中闪烁的频率控制由555定时器设计完成,而对于转弯时尾灯的循环点亮则由三进制计算器的输出作为3~8译码器的地址输入端实现。其系统框图见图1.3.2所示。

图1.3.2 方案二的结构示意图

在方案模拟时发现,方案一可能存在竞争冒险,这将会使尾灯在闪烁时出现不自然的中间过程。方案二电路结构简单,成本低,且稳定性较好,所以选用此方案。

2.主要芯片介绍

2.1 555定时器

555定时器是美国公司1972年研制的用于取代机械式定时器的中规模集成电路,因输入端设计有三个5kΩ的电阻而得名。此电路后来竟风靡世界。目前,流行的产品主要有4个:两个:555,556(含有两个555);两个:7555,7556(含有两个7555)。555定时器可以说是模拟电路与数字电路结合的典范。

555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用工艺制作的称为7555,除单定时器外,还有对应的双定时器556/7556。555定时器的电源电压范围宽,可在4.5V~16V工作,7555可在3V~18V工作,输出驱动电流约为200,因而其输出可与、或者模拟电路电平兼容。其内部电路框图见图2.1.1,外引脚排列图见图2.1.2。

图2.1.1 555多谐振荡器内部框图

VCC OUT U1

555_TIMER_RATED

GND DIS

RST

THR

CON

TRI

图2.1.2 555多谐振荡器的引脚图 555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555定时器的内部包括两个电压比较器,三个等值串联电阻,一个触发器,一个放电管T 及功率输出级。它提供两个基准电压3和23。

555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制触发器和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器C1的同相输入端的电压为23,C2的反相输入端的电压为3。若触发输入端的电压小于3,则比较器C2的输出为0,可使触发器置1,使输出端1。如果阈值输

入端的电压大于23,同时端的电压大于3,则C1的输出为0,C2的输出为1,可将触发器置0,使输出为0电平。

它的各个引脚功能如下:

1脚:外接电源负端或接地,一般情况下接地。

2脚:低触发端。

3脚:输出端。

4脚:是直接清零端。当端接低电平时基电路不工作,此时不论处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

6脚:高触发端。

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

8脚:外接电源,双极型时基电路的范围是4.5V~16V,型时基电路的范围为3V~18V。

2.2 与门7408

与运算输入要求有两个,如果输入都用0和1表示的话,那么与运算的结果就是这两个数的乘积。如1和1(两端都有信号),则输出为1;1和0,则输出为0;0和0,则输出为0。与非门的结果就是对两个输入信号先进行与运算。如图2.2.1为与门的逻辑符号表示,图2.2.2为7408D的管脚图。在图2.2.2中,A、B为输入端,Y为输出端。

图2..2.1 与门的逻辑符号

与非门的状态方程为。其真值表如表2.2.1所示。

表2.2.1 与门真值表

A B

Y 0

0 0 0

1 0 1

0 0 1

1 1

由与门真值表2.2.1可得:输入有0则输出0,输入全为1才输出1。

本次设计中用到的7408是常用的2输入4与门集成电路芯片,它的作用就是实现一个与门,其引脚图见图2.2.2。

U1

74LS08D

1A 1B 1Y 2A 2B 2Y GND 3Y

3A 3B 4Y 4A 4B VCC

图2.2.2 7408引脚图

设计中使用的引脚及实现功能为:1*11B A Y 。

2.3 异或门7486

异或门( ,简称 ,又称 、 )是数字逻辑中实现逻辑异或的逻辑门,有2个输入端、1个输出端。若两个输入的电平相异,则输出为高电平1;若两个输入的电平相同,则输出为低电平0。图2.3.1和图2.3.2分别为异或门的两种逻辑符号表示。

图2.3.1 异或门逻辑符号1

A B

图2.3.2 异或门逻辑符号2 本次设计中用到的异或门集成芯片为7486,为3输入4异或门集成电路芯片,其引脚图见图2.3.3。表2.3.1为其真值表。

U3

74LS86D 1A 1B 1Y 2A 2B 2Y GND 3Y

3A 3B 4Y 4A 4B VCC 图2.3.3 7486引脚图

表2.3.1 7486真值表

设计中7486中使用的引脚即实现的功能为:111B A Y ⊕=

2.4 二—五进制计数器7490D

7490是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

图2.3.4为7490引脚排列,表2.3.2为功能表

图2.3.4 7490引脚排列

通过不同的连接方式,7490可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功能详述如下:

(1)计数脉冲从1输入,作为输出端,为二进制计数器。

(2)计数脉冲从2输入,作为输出端,为异步五进制加法计数器。

(3)若将2和相连,计数脉冲由1输入,、、、作为输出端,

则构成异步8421码十进制加法计数器。

(4)若将1与相连,计数脉冲由2输入,、、、作为输出端,

则构成异步5421码十进制加法计数器。

(5)清零、置9功能。

表2.3.2 7490功能表

如果2与Q(A)相连,计数脉冲由(A)输入,则构成了8432码异步十进制计数器,码序为Q(DCBA)。

如果将1与Q(D)连接,计数脉冲由CP(B)输入,则构成5421BCD码。输出码序为Q(ADCB)为五进制。

2.5 3线-8线译码器74138

译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。

74138是一种译码器,由于74138有3个输入端、8个输出端,所以,又称为3线~8线译码器。三个输入端共有8种状态组合(000—111),可译出8个输出信号Y0—Y7。这种译码器设有三个使能输入端,当E1与E2均为0,且E1为1时,译码器处于工作状态,输出低电平。当译码器被禁止时,输出高电平。

当一个选通端E1为高电平,另两个选通端E2和E3为低电平时,可将地址端A、B、C的二进制编码在Y0至Y7对应的输出端以低电平译出。比如:110时,则Y6输出端有效,输出低电平信号。

图2.5.1所示为74138的引脚图。图中A、B、C为译码地址输入端;E1、E2、E3三个端口为选通端;Y0~Y7为译码输出端(低电平有效)。表2.5.1为3线~8译码器74138的功能表。

汽车车灯控制系统讲解

信息科学与技术学院微机原理与接口技术 课程设计报告 题目名称:汽车车灯控制系统 学生姓名:吴权权 学号: 2009082190 专业年级:计科09-1班 指导教师:裘祖旗 时间: 2012-1-12

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

FPGA实验报告

数字电路与逻辑设计 用Verilog编程 用FPGA实现汽车尾灯控制系统 实验报告 学院:信息与通信工程学院 专业:电子信息工程 班级: 2015211103 组员:傅远昌 2015210077 张楷 2015210078

一.实验要求 根据汽车行驶状态自动控制汽车尾灯 1.直行:尾灯不亮 2.右转:右侧尾灯亮而且按秒闪烁,左侧尾灯不亮 3.左转:左侧尾灯亮而且按秒闪烁,右侧尾灯不亮 4.临时停车或故障:两侧尾灯同时闪烁 5.倒车显示 二.用FPGA实现方式设计 1.用三色LED代表左右汽车尾灯,为了便于区分,左尾灯选择靠左的三色LED且显示为蓝色,右尾灯选择靠右的三色LED且显示为红色。两灯同时闪烁表示停车或倒车。 2.用八个单色LED以流水灯的方式显示汽车的行驶状态,向前滚动表示汽车前行,向后滚动表示汽车到车,只有前四个LED 亮表示左转,只有后四个LED亮表示右转。 3.用四个拨码开关分别表示前行、左转、右转、故障(倒车),器输入组合1000表示前行,0100表示左转、0010表示右转、0001表示故障(倒车); 三.设计思路分析 1.使用不同的进程来分别处理时钟分频及各个状态下的灯光效果 2.用三色LED代表左右汽车尾灯

3.用拨码开关控制汽车行驶状态 4.用单色LED显示汽车行驶状态 5.使用状态机的思想来设计,通过过状态来决定灯光效果,通过外部输入来改变状态。 四.波形仿真 五.管脚分配 1.输入管脚分配: 2.输出管脚分配:

六.实验总结体会: (1)本次实验,我们采用模块化的设计方法,将整体分成不同功能的模块,如计时模块、分频模块、显示控制模块、LED灯显示模块,然后分模块编写程序(由小组人员分工完成),之后再将模块之间用变量连接起来,从而实现汽车尾灯显示要求。 (2)在实现本次设计的过程中,使我们了解了汽车尾灯的基本原理,从而让我们觉得,首次将我们的专业知识与生活联系起来,增强了我们对本课程的学习兴趣。 (3)在本次实验的实现过程中,通过调用case语句、always语句,是我们进一步加深了对case、always语句的认识,并且能够将其运用到其他设计中,使我们进一步熟练了这种设计方法和verilog程序设计语言。 七.实现代码

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

汽车尾灯控制系统说明书

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 目录 绪论 (2) 第1章设计系统的介绍 (3) 1.1 设计软件Multisim的介绍 (3) 1.2设计语言 C语言的介绍 (3) 第2章方案设计及规划 (4) 2.1 设计内容及要求 (4) 2.2设计方案分析及比较 (4) 2.4设计方案规划及设计(具体设计) (5) 第3章软件设计及仿真 (9) 第 4章电路的制作 (19) 第5章心得体会 (21) 主要元器件清单 (22) 参考文献 (23)

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 绪论 汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。难以满足现代汽车的智能化发展[8]。 随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。利用硬件描述语言对数字系统的硬件电路进行描述是EDA的关键技术之一。C语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,且有与具体硬件电路无关和与设计平台无关的特性.在语言易读性和层次化结构设计方面表现出强大的生命力和应用潜力。 本文采用先进的EDA技术,设计了一种基于FPGA的汽车尾灯控制系统.并对系统进行了仿真及验证。用一片FPGA芯片实现从而大大简化了系统结构,降低了成本。提高了系统的先进性和可靠性,能实现控制器的在系统编程。采用这种器件开发的数字系统其升级与改进极为方便。LED(1ight emitting diode,发光二极管)由于其具备体积小、寿命长、低能耗、耐震动、无频闪及反应速度快等优点已成为备受瞩目的新一代车灯光源技术。目前通用的汽车尾灯光源仍然是白炽灯和节能灯占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路交通带来安全隐患等。现有的LED汽车尾灯主要有两种:一种是用多个LED密布于灯壳内直接经配光透镜配光,其缺点是用了多颗LED或者用大功率LED,成本高;另外一种是将LED排布成平面或者柱状置于灯壳内,经自由曲面反射腔配光或自由曲面反射腔和配光透镜联台配光,其缺点是自由曲面反射腔制作工艺复杂。现针对目前LED汽车尾灯配光困难、体积大等缺陷,有效利用LED光源体积小、亮度高等特点,设计出一种节能、高效的新型组合式LED汽车尾灯。

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

电子技术实训情况报告文档

电子技术实训情况报告文档Electronic technology training report document 编订:JinTai College

电子技术实训情况报告文档 小泰温馨提示:情况报告是指向上级机关反映某种临时性情况、事故的报告。实事求是、实情准确,分析有据、详略得当,是写好情况报告的关键。本文档根据情况报告内容要求展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意修改调整及打印。 电子技术实训报告 一、实训目的: 1、培养动手能力,在实践中加强对理论知识的理解。 2、掌握对电子元器件识别,相应工具的操作,相关仪器的使用,电子设备制作、装调的全过程的方法。 3、掌握查找及排除电子电路故障的常用方法。 4、学习使用protel电路设计软件,动手绘制电路图。 二、实训设备及仪器: 1、电烙铁:焊接的元件多,所以使用的是外热式电烙铁,功率为30 w,烙铁头是铜制。 2、螺丝刀、镊子等必备工具以及练习焊接时用的铜丝。

3、锡丝:由于锡熔点低,焊接时,焊锡能迅速散步在金属表面焊接牢固,焊点光亮美观。 4、松香,导线,剥线钳等其它需要用到的工具。 5、相关实验项目所需的电路板,电子元件等。 三、实训要求: 1、识别不同的电子元器件的规格和种类,熟练掌握焊接技术。 2、按照电路图设计合理安排元器件的位置,连接好电路,对接口进行焊接,完成对指定功能的测试。未达到测试要求的重新调试,直至排除故障。 四、实训内容: (2)汽车尾灯控制器 (3)数字时钟(4)组装收音机 (1)模拟声响器电路: (2)汽车尾灯控制器电路: (3)数字时钟电路: 五、实训结果:

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计 目录 1.设计任务和设计要求 (1) 1.1设计任务 (1) 1.2设计要求 (1) 2. 设计原理与总体框图 (1) 3.单元电路设计 (2) 3.1三进制计数器 (2) 3.2汽车尾灯控电路 (3) 3.3开关控制电路 (4) 3.4时钟产生电路 (5) 4.汽车尾灯总体电路 (5) 5.试验方案及体会 (7) 6.器件清单 (7) 7.参考文献 (11)

1.设计任务和设计要求 1.1设计任务 设计一个汽车尾灯控制电路。汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 1.2设计要求 设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。要求是: (1)汽车正常行驶时,尾灯全部熄灭。 (2)当汽车左转弯时,右侧3个指示灯按左循环点亮。 (3)当汽车右转弯时,左侧3个指示灯按右循环点亮。 (4)临时刹车时,所有指示灯同时闪烁。 (5)选择电路方案,完成对确定方案的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 表1.1尾灯和汽车运行状态关系表 2. 设计原理与总体框图 根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状

态 ) 。 表2.1.汽车尾灯控制逻辑功能表 开关控制 三进制计数器六个指示灯 S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3 0 0 ××0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 ××CP CP CP CP CP CP 根据表1.1可以得出原理框图,如图2.1所示。 图2.1 汽车尾灯控制电路原理图 3.单元电路设计 3.1三进制计数器 三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

汽车尾灯控制电路设计

电子技术综合实验2 (开放型实验) 实验指导书 南昌航空大学信息工程学院电工电子教研室 2009年8月

实验一汽车尾灯控制电路设计 一、设计型实验的目的与任务 实验目的:使学生熟悉和掌握实际电子技术应用所需要的完整流程,即电路原理图设计、电路性能仿真与测试、电路板的制作、硬件电路的调试这一整套技能。 实验任务:在计算机上绘制电路原理图,完成设计电路的软仿真。在电子技术实验箱上搭建实物电路,并完成硬件电路的调试。观察实验现象,写出实验报告。 二、设计要求 假设汽车尾部左右两侧各有3个指示灯(可用试验箱上的电平指示二极管模拟) 1、汽车正常运行时指示灯全灭 2、右转弯时,右侧3个指示灯按右循环顺序点亮 3、左转弯时左侧3个指示灯按左循环顺序点亮 4、临时刹车时所有指示灯同时闪烁 三、设计内容 1、列出尾灯与汽车运行状态表,如表1-1所示 表1-1 2、设计总体框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出每种运行状态下,个指示灯与各给定条件(S1、S0、CP、Q1、Q0 )的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。 表1-2

图1-1 3、设计单元电路 三进制计数器电路。由双JK 触发器74LS76构成,可根据表1-2进行设计。汽车尾灯电路。其显示驱动电路由6个发光二极管和6个反相器构成。译码电路由三线译码器74LS138和6个与非门构成。74LS138的三输入端A2、A1、A0分别按Q1、Q0,而Q1Q0是三进制计数器的输出端 Y 0,Y 1,Y 2,依次为0有效(Y 3,Y 4,Y 5的符号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LS138对应的输出端Y 4,Y 5,Y 6依次为0有效,即反相器G4~G6的输出端也依次为0,故指示灯D4→D5→D6顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭:当G=0,A=CP 时,指示灯随CP 的频率闪烁。 开关控制电路。设73LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体功能表分析及组合得G 、A 与给定条件(S1、S0、CP )的真值表,如表1-3所示,真值表经过整理得逻辑表达式为 10G S S =⊕ 10101010A S S S S CP S S S S CP =+=? 表3-3 开关控制 CP 使能信号 S 1 S 0 G A 0 0 Х 0 1 0 1 Х 1 1 1 0 Х 1 1 1 1 CP 0 CP 4、设计汽车尾灯总体参考电路 由步骤3可得出汽车尾灯总体电路(参考),如图1-2所示

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计 一、设计基本要求: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟) 1.汽车整车运行时指示灯全灭; 2.右转弯时,右侧3个指示灯按右循环顺序点亮; 3.左转弯时左侧3个指示灯按左循环顺序点亮; 4.临时刹车时所有指示灯同时闪烁 二、设计方案: 1.汽车尾灯显示状态与汽车运行状态的关系 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。 2. 在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。 方案原理框图如下图所示 开关控制电路显示、驱动电路 译码电路 计数器 {尾灯电路 汽车尾灯控制电路原理框图 本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 三、电路设计步骤: 1.时钟脉冲电路

由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。时钟脉冲电路如 下图1所示: 1.时钟脉冲电路 555定时器引脚图 2. 三进制计数器 汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)

数电课程设计《汽车尾灯控制系统》

课程设计报告 设计题目:汽车尾灯控制系统班级:计算机1206班 学号: 2012XXX 姓名: XXX 指导教师:马学文 设计时间: 2014年8月

摘要 在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。本次报告详细讲解了该系统的设计思路及其具体的实现过程。 关键词: 计数器、译码器、定时器、时钟脉冲

目录 摘要 2 第1章概述4第2章课程设计任务及要求4 2.1 设计任务 4 2.2 设计要求 4 第3章系统设计4 3.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择10 第4章软件仿真11 4.1 仿真电路图11 4.2 仿真过程13 4.2 仿真结果15 第5章安装调试17 5.2 安装调试过程17 5.3 故障分析17 第6章结论18第7章使用仪器设备清单19参考文献19 收获、体会和建议20

汽车电控实训报告

目录 实训课题1:发动机电子控制系统总体结构认识 (1) 实训课题2:时代超人汽车电路线路图的识别 (2) 实训课题3:空气流量计的检测 (2) 实训课题4:节气门位置传感器的检测 (2) 实训课题5:凸轮轴位置传感器的检测 (3) 实训课题6:冷却液温度传感器和进气温度传感器的检测 (3) 实训课题7:曲轴位置(发动机转速)传感器的检测 (3) 实训课题: 凌志400自动空调实训指导书 (3) 实训课题: 桑塔纳2000汽车ABS系统实训指导书 (6) 实训课题: 汽车电器实验 (8) 实训感受 (12) 实训课题1:发动机电子控制系统总体结构认识 一、实训目的 1.了解发动机电子控制系统总体结构 2.识别发动机电子控制系统的主要传感器、执行器

实训课题2:时代超人汽车电路线路图的识别一、实训目的 1.掌握时代超人电线路图的识图方法。 2.会利用线路图对实际电路进行检测。 实训课题3:空气流量计的检测 一、实训目的 1.掌握空气流量计的结构及工作原理。 2.掌握空气流量计故障对整个电控系统的影响。 3.掌握空气流量计的检测方法。 实训课题4:节气门位置传感器的检测 一、实训目的 1.掌握节气门位置传感器的结构及工作原理。 2.掌握节气门位置传感器故障对整个电控系统的影响。3.掌握节气门位置传感器的检测方法及数据分析方法。 实训课题5:凸轮轴位置传感器的检测 一、实训目的 1.掌握凸轮轴位置传感器的结构及工作原理。 2.掌握凸轮轴位置传感器故障对整个电控系统的影响。3.掌握凸轮轴位置传感器的检测方法及数据分析方法。

实训课题6:冷却液温度传感器和进气温度传感器的检测 一、实训目的 1.掌握冷却液温度传感器和进气温度传感器的结构及工作原理。 2.掌握冷却液温度传感器和进气温度传感器故障对整个电控系统的影响。 3.掌握冷却液温度传感器和进气温度传感器的检测方法及数据分析方法。 实训课题: 凌志400自动空调 一、实训内容与要求 1、掌握自动空调系统的结构、工作原理; 2、理解自动空调系统故障检测流程; 3、了解自动空调系统故障检修的方法。 六、操作内容 (一)故障代码的读取与清除 AUTO和REC(再循环)开关并同时接通点火开关,指示器应在1秒内闪亮4次(若无显示,可查电源和显示器)。这时可在温度显示屏上直接读出故障代码。若按TEMP开关,将改为步进显示,即每按一次该键可显示一个代码,显示代码时,蜂鸣器响,表示该故障是连续发生的,若蜂鸣器不响即为以前发生的代码或断续故障. 若再按REC按钮便进入执行器检查,此时ECU每隔1秒顺序自动检查各风档开关、电机和继电器,用肉眼和手即可检查温度和风量,若再按TEMP开关又进入步进运转,即每按一次改变一种状态,便于检查。 按OFF键可退出诊断状态,拔下DOME熔丝10秒以上即可清除故障代码,故障代码如下表:

课程设计——汽车尾灯控制器的设计1

成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 题目汽车尾灯控制器的设计 学生姓名 专业 班级 指导教师 日期:2010年7月5日

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each module.Car taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module.Each module after the formation of a car taillight integrated controller.Through the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory

汽车尾灯控制电路的设计

电子综合实训任务书 学生姓名:专业班级: 指导老师:陶珺工作单位:武汉理工大学理学院 题目:汽车尾灯控制电路的设计 初始条件:直流可调稳压电源一台、万用表一块、面包板一块、元器件若干、剪刀、镊子等必备工具 要求完成的主要任务:(包括电子综合实训工作量及其技术要求以及说明书撰写 等具体要求) 1、技术要求: 设计汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。要求实现(1)汽车正常运行时指示灯全灭;(2)右转弯时右侧3个指示灯按右循环顺序点亮;(3)左转弯时左侧3个指示灯按左循环顺序点亮;(4)临时刹车时所有指示灯同时闪烁 2、主要任务: (一)设计方案 (1)按照技术要求,提出自己的设计方案(多种)并进行比较; (2)以74LS138和74LS76为主,设计一种汽车尾灯控制电路(实现方案); (3)依据设计方案,进行预答辩; (二)实现方案 (4)根据设计的实现方案,画出电路逻辑图和装配图; (5)查阅资料,确定所需各元器件型号和参数; (6)在面包板上组装电路; (7)自拟调整测试方法,并调试电路使其达到设计指标要求; (8)撰写设计说明书,进行答辩。 3、撰写电子综合实训说明书: 封面:题目,学院,专业,班级,姓名,学号,指导教师,日期 任务书 目录(自动生成) 正文:1、技术指标;2、设计方案及其比较;3、实现方案; 4、调试过程及结论; 5、心得体会; 6、参考文献 成绩评定表 时间安排: 电子综合实训时间:19周-20周 19周:明确任务,查阅资料,提出不同的设计方案(包括实现方案)并答辩; 20周:按照实现方案进行电路布线并调试通过;撰写电子综合实训说明书。 指导教师签名:年月日 系主任(或负责老师)签名:年月日

汽车车灯控制系统DOC

信息科学与技术学院微机原理与接口技术课程设计报告 题目名称:汽车车灯控制系统

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

3.主流程图 No Yes Yes RET No Yes RET No Yes RET No Yes RET No Yes RET No 非定义字符 RET Yes 开始 与W 比较 有无按健 退出 等待 与A 比较 与D 比较 调用DRAW_W 调用DRAW_A 调用DRAW_D 与S 比较 调用DRAW_S 和响铃函数 与B 比较 调用STOP 与空格比较

EDA汽车尾灯控制课程设计报告

《EDA技术应用》 课程设计报告 专业:通信工程 班级:09312班 姓名:某某某 指导教师:杨祖芳曾凡忠 2012年05月20日

目录 1引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1EDA技术 (1) 2.2硬件描述语言(VHDL) (2) 3汽车尾灯控制器的设计过程 (3) 3.1系统需求分析 (3) 3.2汽车尾灯控制器的工作原理 (3) 3.3各组成模块原理及程序 (4) 4系统仿真 (9) 4.1分频模块仿真及分析 (9) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (12) 4.5整个系统仿真及分析 (13) 结束语 (15) 指导老师意见 (16) 参考书目 (16)

1引言 随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。 1.1 设计的目的 其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。 1.2 设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 2 EDA、VHDL简介 2.1 EDA技术 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

汽车照明系统实训报告

汽车照明系统实训报告 一、实训目的 (1)了解汽车照明系统的组成及工作原理。 (2)掌握汽车照明系统故障的诊断与维修, 二、实训设备 上海大众帕沙特Gsi轿车照明系统实训台 三、实训内容 1、照明系统电路的特点 1、照明系统主要由蓄电池、熔断丝、灯控开关、灯光继电器、变光器、灯及其线路组成。汽车的照明灯一般由前照灯、雾灯、小灯、后灯、内部照明灯等组成。不同的车型所配置的照明设备不完全相同,其控制线路也各不相同。 照明灯由灯光开关直接控制。灯光开光在0档时,所有照明灯关断;灯光开关在1档时,小灯亮;;灯光开关在2档时,前照灯、小灯同时亮。 照明系统安装有继电器,灯光开关控制断电器线圈,而继电器流过的电流才是灯泡的电流。 超车灯信号常用远光灯亮灭来表示,发出此信号时不通过灯光开关,属于短时接通式。 室内灯位于车内前部顶棚上,其功能是给驾驶员提供照明条件。此外,它还能受各车门开关控制,为驾驶员提供各个车门的开闭状态信号。

在有些车辆中,为了保证发动机顺利启动,当点火开关打至启动档时,前照灯及系统等耗电量较大的用电设备的电路将切断。 2、照明系统各功能部件 1、照明设备灯 前照灯前照灯俗称大灯,装在汽车头部的两侧,用于汽车在夜间或光线昏暗的路面上行驶的照明,有两灯制和四灯制之分。 雾灯雾灯在有雾、下雪、暴雨或尘埃弥漫等情况下,用来改善道路的照明情况。安装在汽车的车头和车尾,位置比前照灯稍低,一般距离地面约50厘米左右。装于车头的雾灯称为前雾灯,装于车尾的雾灯称为后雾灯。雾灯射出的光线倾斜度大,光色为黄色或橙色黄色光波较长,透雾性能好。 牌照灯牌照灯用来照亮汽车牌照,光色为白色。牌照灯安装在汽车牌照上面,一般采用5到10瓦的灯泡进行照明。当尾灯亮时,牌照灯也点亮。 仪表灯仪表灯安装在仪表板上,用于夜间照明仪表,使驾驶员能容易看清仪表的指示。一般采用2瓦的灯泡进行照明。有些车辆还加装了灯光控制变阻器,使驾驶员能根据自己的需要调整仪表灯的亮度。 顶灯顶灯装在车厢或驾驶室内车顶部,作为内部照明

汽车尾灯的设计论文

河南机电高等专科学校 毕业设计论文 设计题目:汽车尾灯电路设计 —基于555的汽车尾灯控制电路实现方法探讨 系部电子通信工程系 专业应用电子技术 班级应电103班 学生姓名郭航飞 学号100415117 指导教师白宏伟 2013年1 月8 日

摘要 本次设计的汽车尾灯控制电路是用数字电路实现的。汽车尾灯显示控制电路是汽车尾灯电路的重要组成部分,主要完成控制与驱动功能,具体电路由三进制计数器电路、汽车行驶状态开关模拟电路和汽车行驶状态显示电路三部分组成。 在本次设计中,使用555多谐振荡器来制作电路脉冲产生器,产生时钟脉冲CP。在三进制计数器电路部分用到了数字电路中的触发器、时序逻辑电路的设计和卡诺图的化简,使用到JK触发器芯片74LS76;在汽车行驶状态开关模拟电路部分则用到了组合逻辑电路中译码器及逻辑门电路,使用到3-8译码器芯片74LS138、与门芯片74LS08、与非门芯片74LS00和或门芯片74LS32等;在汽车行驶状态显示电路中用发光二极管模拟显示汽车正常行驶、左转、右转和紧急刹车的四种状态。 关键词:数字电路555多谐振荡器三进制计数器触发器发光二极管

Abstract The design of the automobile taillights control circuit is realized by digital circuits. Car taillight display and control circuit is an important part of automotive taillight circuits, which mainly to complete the control and driving function, the specific circuit consists of three parts, which are three binary counter circuit, a state vehicle switch analog circuits and automobile driving state display circuit. In this design, I used the 555multivibrator circuit to produce pulse generator, to generate a clock pulse CP. In three binary counter circuit, I used the triggers in digital circuit, sequential logic circuit design and the Kano graph simplification, I also used JK trigger chip 74LS76 in this part; in the vehicle running state switch analog circuit part, decoder and a logic gate circuit in Combinatorial Logical Circuit were used, excluding chips like decoder chip 74LS138, AND GDTE chip 74LS08, NAND GATE chip74LS00, OR GATE chip 74LS32 and so on; in automobile driving state display circuit of light-emitting diodes with simulation shows the normal running of the automobile, turn left, turn right and emergency braking of four states. Keywords:digital circuit 555multivibrator three binary counter trigger diode

相关文档
最新文档