16 16LED点阵显示屏

16 16LED点阵显示屏
16 16LED点阵显示屏

单片机课程设计课题: 16*16点阵LED电子显示屏

系别:

专业:

姓名:

学号:

XXXXXX学院

2010 年12 月30 日

一、设计目的

1、学习和熟悉单片机的系统开发及应用。

2、了解并熟悉点阵屏的接口连接及软件控制。

二、设计要求

1、设计一个16*16点阵LED 电子显示屏。

2、要求在目测条件下LED 电子显示屏各点亮度均匀、充足,显示图形和文字应稳定、清晰无串扰。图形文字显示有静止、移入移出等显示方式。

三、系统总体设计框图

图一

图二 主程序流程图

单 片 机

16*16点阵屏

4-16译码器

P2

P1控制行线2

P0控制行线1

按键

蜂鸣器

开始

初始化

显示缓冲区数据

装载显示数据至缓冲区

是否调时?

调时程序

图三 定时器流程图

本次设计是基于STC52单片机,用16*16点阵屏作为显示器显示时间,并附带闹钟,滚动字幕显示功能的多功能时钟,具有很强的实用性和装饰性,新颖时尚。本系统采用STC52单片机为系统的控制核心,系统主要包括控制模块,LED 点阵模块,驱动模块,报警模块。

开始

Count++Count=100 Second=60 ?

Minute=60?

赋初值

Hour=24? second++;count 清零

Hour 清零

Minute++;Second 清零

Hour++;Minute 清零

否 否 否

四、各部分电路设计

1、控制模块:以STC52单片机为控制核心,加上晶振振荡电路和复位电路组成的最小系统,如图四所示:

EA/VP 31

X119X218RE SET 9RD 17WR 16

INT 012

INT 113T014T115P10/T 1P11/T 2P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27

28

PSE N

29

AL E/P 30TXD 11RXD 10U1

80C 52

A B C D

P10P11P12P13P14P15P16P17P00P01P02P03P04P05P06P0712*******PZ

CON9

P00P01P02P03P04P05P06P07

VC C

K2K3

K1K4

KR

RE S

T1T2C130p F

C230p F

T1

T2

Y112M

D1LE D R11K

R210K

VC C

+C3

10u F

SPE AKE R

图四

2、LED 点阵模块

采用四个8*8的LED 单色高亮红光点阵模块组成16*16的点阵屏,单点

电气属性:VOL :1.8-2.6V ,AMP :5-15MA 。扫描方式为动态扫描。

电路图如图六所示:

A 1

B 2

C 3

D 4

E 5

F 6

G 7H

8

I 9J 10

K 11L 12M 13N 14O 15P

16

A18*8

A 1

B 2

C 3

D 4

E 5

F 6

G 7H

8

I 9J 10

K 11L 12M 13N 14O 15P

16

A28*8A 1B 2C 3D 4E 5F 6G 7H

8

I 9J 10

K 11L 12M 13N 14O 15P

16

A38*8

A 1

B 2

C 3

D 4

E 5

F 6

G 7H

8

I 9J 10

K 11L 12M 13N 14O 15P

16

A48*8A1A2A3A4A5A6A7A8

A9A10A11A12A13A14A15A16

A1A2A3A4A5A6A7A8

A9A10A11A12A13A14A15A16

B 1B 2B 3B 4B 5B 6B 7B 8

B 1B 2B 3B 4B 5B 6B 7B 8

B 16

B 15B 14B 13B 12B 11B 1

0B 9B 9B 1

0B 11B 12B 13B 14B 15B 16

图六

3、驱动模块

行线驱动:采用两个74LS573锁存器分别连接点阵块的行线,作为点阵块的电流驱动,控制端口为P0和P1。

列线驱动:采用两各74LS138三线八线译码器组合成四线十六线译码器作为点阵屏的列选控制,接点阵屏的列线,ABCD 分别对应的控制端口为P2.0、P2.1、P2.2、P2.3。

电路如图七所示:

OC 1C 111D 21Q 192D 32Q 183D 43Q 174D 54Q 165D 65Q 156D 76Q 147D 87Q 138D 9

8Q

12

U2

DM 74L S573

OC 1C 111D 21Q 192D 32Q 183D 43Q 174D 54Q 165D 65Q 156D 76Q 147D 87Q 138D 9

8Q

12

U3

DM 74L S573

A1A2A3A4A5A6A7A8

A9A10A11A12A13A14A15A16

VC C

VC C

P10P11P12P13P14P15P16P17

P00P01P02P03P04P05P06P07

A 1

B 2

C 3

G 2A 4G 2B

5

G 16Y 7

7

Y 69Y 510Y 411Y 312Y 213Y 114Y 015U474LS138

A 1

B 2

C 3

G 2A 4G 2B

5

G 16Y 7

7

Y 69Y 510Y 411Y 312Y 213Y 114Y 015U574LS138

VC C

A B C D

B1B2B3B4B5B6B7B8

B16

B15B14B13B12B11B10B9

图七

4、按键及蜂鸣器

一共有设有五个按键:模式、设定、增加、减少、确定,分别对应的端口为P3.3、P3.5、P3.1、P3.2、 P3.4。

蜂鸣器用三极管作为驱动,控制端口为P3.6. 电路如图八所示:

K1

设定K2

增加K3

减少K4

确定K5

模式

K2

K3

K1

K4

KR

LS1

SPE AKE R

Q1NPN

VC C

R_L S

500

SPE AKE R

图八

5、电源

因电路所需电流较大,同时考虑到单片机的工作电压,本系统选用4节5号电池作为电源供电。

五、整体电路图

仿真图如下:

A 1

B 2

C 3

D 4

E 5

F 6

G 7H

8

I 9J 10K 11L 12M 13N 14O 15P

16

A18*8

A 1

B 2

C 3

D 4

E 5

F 6

G 7H

8

I 9J 10K 11L 12M 13N 14O 15P

16

A28*8

A 1

B 2

C 3

D 4

E 5

F 6

G 7H

8

I 9J 10K 11L 12M 13N 14O 15P

16

A38*8

A 1

B 2

C 3

D 4

E 5

F 6

G 7H

8

I 9J 10K 11L 12M 13N 14O 15P

16

A48*8

EA/VP

31

X119X218RESET

9

RD 17WR 16

INT0

12

INT113T014

T115P10/T 1P11/T 2P123P134P145P156P167P17

8

P0039P0138P0237P0336P0435P0534P0633P07

32

P2021P2122P2223P2324P2425P2526P2627P2728

PSEN

29

ALE/P 30TXD 11RXD 10U1

80C 52OC 1C

111D 21Q 192D 32Q 183D 43Q 174D 54Q 165D 65Q 156D 76Q 147D 87Q 138D

9

8Q

12

U2

DM 74LS 573

OC 1C

11

1D 21Q 192D 32Q 183D 43Q 174D 54Q 165D 65Q 156D 76Q 147D 87Q 138D 9

8Q

12

U3

DM 74LS 573

A 1

B 2C

3

G 2A 4G 2B

5

G 16Y 7

7

Y 69Y 510

Y 411Y 312Y 213Y 114Y 015U474LS138

A 1

B 2C

3

G 2A 4G 2B

5

G 16Y 7

7

Y 69Y 510

Y 411Y 312Y 213Y 114Y 015U574LS138

A1A2A3A4A5A6A7A8

A9A10A11A12A13A14A15A16

A1A2A3A4A5A6A7A8

A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15A16

A9A10A11A12A13A14A15A16B1B2B3B4B5B6B7B8

B1B2B3B4B5B6B7B8

B16

B15B14B13B12B11B10B9B9B10B11B12B13B14B15B16

VC C

A B C D

A B C D

VC C

VC C

P10P11P12P13P14P15P16P17

P10P11P12P13P14P15P16P17

P00P01P02P03P04P05P06P07

P00P01P02P03P04P05P06P07

B1B2B3B4B5B6B7B8

B16

B15B14B13B12B11B10B9123456789

PZ CON9P00P01P02P03P04P05P06P07VC C

K1设定

K2增加K3减少K4确定K5模式

K2K3

K2K3K1K4KR K1K4KR RES T1T2C130p F C230p F T1

T2

Y112M

D1LED R11K R210K

VC C

+C310u F

LS1

SPEAKER

Q1NPN VC C

R_LS 500

SPEAKER SPEAKER

六、程序设计

/********************************************************* 程序名称:LED16*16点阵显示时间

简要说明:P1口接上行线,P0口接下行线,P2口接扫描线

*********************************************************/ #include

#define uchar unsigned char

#define uint unsigned int

#define hang1 P1 //上行线

#define hang2 P0 //下行线

#define lie P2 //列线

#define light 200 //显示亮度

sbit k1=P3^5;

sbit k2=P3^0;

sbit k3=P3^1;

sbit k4=P3^4;

sbit kr=P3^2;

sbit spk=P3^6;

uchar disbuf[2][16];

uchar LED;

uchar hour=12,minute=00,second;

uchar set=0;

uchar change=0;

uchar code shuzi[][6]=

{

0x3E,0x7F,0x49,0x45,0x7F,0x3E,//0

0x00,0x42,0x7F,0x7F,0x40,0x00,//1

0x46,0x63,0x71,0x59,0x4F,0x46,//2

0x22,0x63,0x49,0x49,0x7F,0x36,//3

0x38,0x3C,0x22,0x7F,0x7F,0x20,//4

0x27,0x67,0x45,0x45,0x7D,0x39,//5

0x38,0x7C,0x4E,0x4B,0x79,0x30,//6

0x01,0x01,0x79,0x7D,0x07,0x03,//7

0x36,0x7F,0x49,0x49,0x7F,0x36,//8

0x06,0x4F,0x69,0x39,0x1F,0x0E,//9

};

uchar code seg[]=

{

0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,

0x08,0x09,0x0a,0x0b,0x0c,0x0d,0x0e,0x0f,

};

/*****可变延时*****/

void delay(void)

{

unsigned char i;

for(i=0;i

}

/*****可变延时*****/

void delayms(uint x)

{

uchar i;

while(x--)

for(i=0;i<120;i++);

}

/*****蜂鸣器*****/

void beep()

{

uchar i,j=70;

for(i=0;i<200;i++)

{

while(--j);spk=~spk;

}

delayms(300);spk=0;

}

/*****装载显示数据至缓冲区*****/

void load_time(void)

{

unsigned char i;

for(i=0;i<6;i++)

{

if(set==1&&change==0)

{disbuf[0][i]=disbuf[0][i+7]=0;}

else{disbuf[0][i]=shuzi[hour/10][i];

disbuf[0][i+7]=shuzi[hour%10][i];}

if(set==1&&change==1)

{disbuf[1][i+3]=disbuf[1][i+10]=0;}

else{disbuf[1][i+3]=shuzi[minute/10][i];

disbuf[1][i+10]=shuzi[minute%10][i];} }

if(LED){disbuf[0][14]=disbuf[0][15]=0x6c;} else {disbuf[0][14]=disbuf[0][15]=0x00;}

}

/*****扫描显示数据缓冲区的内容*****/ void display(void)

{

unsigned char i;

for (i=0;i<16;i++)

{

lie=seg[i];

hang1=disbuf[0][i];

hang2=disbuf[1][i];

delay();

hang1=0x00;

hang2=0x00;

}

}

/***********设置时间*********/

void changetime()

{

if(k1==0)

delayms(100);

if(k1==0)

{beep();

set=1;

change=!change;}

if(set==1&&change==1&&k2==0)

{beep();

if(++hour==24)hour=0;}

if(set==1&&change==1&&k3==0)

{beep();

if(--hour==0xff)hour=23;}

if(set==1&&change==0&&k2==0)

{beep();

if(++minute==60)minute=0;};

if(set==1&&change==0&&k3==0)

{beep();

if(--minute==0xff)minute=59;} if(set==1&&k4==0)set=0;

}

/*****主程序*****/

void main (void)

{

TMOD=0x01;//定时器0 10ms in 12M crystal 用于计时

//定时器1 //中断显示汉字

TH0=0xd8;

TL0=0xf0;

IE=0x82;

TR0=1;

while(1)

{

load_time();

display();

changetime();

}

}

/************定时中断0(计时)***************/

void time0(void) interrupt 1 using 1

{

static unsigned char count;//定义内部静态变量

TH0=0xd8;//重新赋值

TL0=0xf0;

count++;

switch (count)

{

//case 0:

//case 20:

//case 40:

//case 60:

//case 80:

case 50:LED=!LED; //半秒LED闪烁

break;

default:break;

}

if (count==100)

{

count=0;

second++;//秒加1

if(second==60)

{

second=0;

minute++; //分加1

if(minute==60)

{

minute=0;

hour++; //时加1

if(hour==24)

hour=0;

七、设计总结

1、设计过程中遇到的问题及解决方法

由于初次进行单片机电路设计,对单片机及相关的硬件设备不够了解,造成连接电路时发生引脚接错现象。通过仔细了解相关的知识要点和与同学交流解决了该问题。在焊接电路板时碰到的不小心短路情况,也因多次练习终于成功。

2、设计体会

我通过完成这次的单片机课程设计,让我对单片机的理论有了深入的了解,更好的了解单片机这门课程对我的设计有着至关重要的作用。同时在具体的制作设计过程中我发现理论知识与实际的应用存在着不小的差距。在完成这篇论文后,我学会了很多知识,特别是对单片机课程产生了浓厚的兴趣。

3、对设计的建议

通过本次课程设计,我发现我们在学习课本知识的时候,更应该时常动手做实验,巩固和运用学过的知识,理论结合实际地学习。

八、参考文献

[1] 梅丽凤,单片机原理及接口技术,清华大学出版社,2004

[2] 扬恢先,黄辉,单片机原理及应用,人民邮电出版社,2006

[3] 张毅刚,单片机原理及应用,高等教育出版社,2003

[4] 张连华,单片机应用系统设计方法,科学之友, 2010,

[5] 楼然苗,51 系列单片机设计实例,北京航空航天出版社,

成绩评定·

一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。

二、评分

评分项目

设计报告评分答辩评分平时表现评分

合计

(100分)任务完成

情况

(20分)

课程设计

报告质量

(40分)

表达情况

(10分)

回答问题

情况

(10分)

工作态度与纪

(10分)

独立工作

能力

(10分)

得分

课程设计成绩评定

班级姓名学号

成绩:分(折合等级)

指导教师签字年月日

LED点阵显示屏实验报告解析

16?16点阵LED电子显示屏的设计 摘要:文章介绍了基于单片机AT89C51的16?16点阵LED电子显示屏的设计。分别阐述了显示屏显示的基本原理,硬件设计、控制方法及其程序的实现。经过调试和分析,设计的结果能够实现对汉字的静态和动态显示,动态显示的内容有多种方式,同时又可通过上位机更新显示的内容。 关键字:AT89C51;16?16点阵;LED;显示屏 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,显示花样较单一。一般在产品出厂时,显示内容就已写入显示屏控制系统中的EPROM芯片内,当需要更换显示内容时就非常困难,这样使该类型的显示屏使用范围受到了限制。国内的另一种LED显示屏——可编程序型LED显示屏,虽然增加了显示屏系统的编程能力,显示内容和显示花样都有所增加,但也存在着更换显示内容不便的缺点。随着社会经济的迅速发展,如今的广告牌都存在着显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。 2 LED显示屏控制技术状况 显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路及输出接口电路等,涉及的具体技术很多,其关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、自动检测及远程控制技术等。

LED点阵书写显示屏设计方案

LED点阵书写显示屏设计方案 第一章设计任务及要求 1.1、任务 设计并制作一个基于32x32点阵LED模块的书写显示屏,其系统结构如图1所示。在控制器的管理下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下;当光笔触及LED点阵模块表面时,先由光笔检测触及位置处LED点的扫描微亮以获取其行列坐标,再依据功能需求决定该坐标处的LED是否点亮至人眼可见的显示状态(如下图中光笔接触处的深色LED点已被点亮),从而在屏上实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能。 图1.1 LED点阵书写显示屏系统结构示意图 1.2、要求 (1)在“点亮”功能下,当光笔接触屏上某点LED时,能即时点亮该点LED,并在控制器 上同步显示该点LED的行列坐标值(左上角定为行列坐标原点)。 (2)在“划亮”功能下,当光笔在屏上快速划过时,能同步点亮划过的各点LED,其速度 要求2s能划过并点亮40点LED。 (3)在“反显”功能下,能对屏上显示的信息实现反相显示(即:字体笔画处不亮,无笔 画处高亮)。 (4)在“整屏擦除”功能下,能实现对屏上所显示信息的整屏擦除。

第二章系统整体框架 系统整体框架图如图一所示,分为控制模块、显示模块、光笔模块、LED点阵模块和辅助模块(包括键盘、数据存储等)。 图2.1 系统整体框架图

第三章方案论证与比较 3.1、控制模块 在数字信号处理中,常用的控制器有FPGA、DSP及嵌入式51单片机。 FPGA可以直接用硬件扫描、编码、解码、纠错,速度快、稳定性高,但其价格昂贵,很多的功能在本设计难以使用到。 DSP都有较快的数据处理速度,能实时地、快速地监测信号量的变化,但其受采样频率的限制,处理频率围有限。 AT89S52 是一种低功耗、高性能CMOS 8位微控制器,具有8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案,具有硬件的设计十分简单,软件开发周期短等特点。 考虑到价格、功耗及系统的要求,最终选用AT89S52单片机为控制系统。 3.2、光笔模块 光笔设计的关键是选择合适的传感器件,只有具有很高的灵敏度和一定的响应时间的传感器才能完成系统的要求及功能。 方案一:采用核心部件为光敏电阻制成的光笔检测系统。光敏电阻是将光能转换为电能的一种传感器件,它是构成光电式传感器的主要部件。光敏电阻结构简单、使用方便、价格便宜,但其响应时间长,不易检测。 方案二:采用光敏二极管,与光敏电阻相比有较好的高频特性,具有较好的可靠性,功耗低,且同样价格低廉,使用方便。 比较两种器件,系统设计中选用光敏二极管制作光笔模块。 3.3、LED点阵模块 LED点阵的显示方式有以下几种: 1)在LED点阵上贴上一层触摸屏,形成压膜式LED点阵。把触摸屏的信息通过微处理器处理来控制LED点阵显示。这种显示方式准确,反应速度快,光笔制作简单,但造价高。 2)用普通的LED点阵,在LED点阵的边上加上红外线传感接收器,构成32×32的

EDA(LED点阵显示屏控制系统设计)

《EDA技术与应用》 课程设计报告 题目: LED点阵显示屏控制系统设计院(系):机电与自动化学院 专业班级:自动化 学生姓名: 学号: 2014 指导教师: 2017年6月 19日至2017年 6 月23 日 *******

《EDA技术及应用》课程设计任务书

摘要:我国经济正处于发展的高峰期,也需要广大的公共场合信息公示平台,而利用LED点阵滚动显示正好符合情况,且这种方式已经成为信息传递的一种重要手段。因此,在日常生活中,点阵随处可见。通过多种控制手段,点阵还可以实现各种文字甚至图案的动态显示。在不同的应用场合,点阵的设计要求也是不同的。传统思路一般是应用单片机实现点阵控制,但该方法有一定的局限性。 该次课程设计主要研究利用VHDL语言编程来设计汉字的显示。首先描述相应的设计电路;然后叙述在16*16矩阵显示汉字的原理;最后给出描述功能的VHDL设计语言。并通过编程、调试、仿真、下载正确实现汉字滚动、扫描显示结果。 关键词: LED点阵;FPGA;VHDL语言;汉字滚动显示。

目录 1.实验要求及总体方案 (1) 1.1 实验要求 (1) 1.2 扫描显示 (1) 1.3 滚动显示 (1) 2.LED点阵显示原理 (1) 2.1 LED点阵原理 (1) 2.2汉字取模 (2) 3.扫描显示 (3) 3.1 设计基本原理 (3) 3.2计数器设计 (3) 3.3 列驱动设计 (4) 3.4 行驱动设计 (4) 4.仿真图原理图及实物图 (4) 4.1仿真图 (4) 4.2原理图 (5) 4.3实物图 (6) 5.程序 (7) 参考文献: (10)

LED点阵书写显示屏资料大全

论文一 第一章绪论 1.1课题来源 本课题来源于全国大学生电子设计竞赛LED点阵书写显示屏,它是一种控制半导体发光二极管的显示装置,其主要功能是实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示。 1.2设计任务及要求 设计并制作一个基于32×32点阵LED模块的书写显示屏,其系统结构如图1-1所示。在控制器的管理下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下;当光笔触及LED点阵模块表面时,先由光笔检测触及位置处LED 点的扫描微亮以获取其行列坐标,再依据功能需求决定该坐标处的LED是否点亮至人眼可见的显示状态,从而在屏上实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能。 图1-1 LED点阵书写显示屏系统结构示意图 设计的最终要求是:在点亮功能下当光笔接触屏上某点LED时,能即时点亮该LED;在划亮功能下当光笔快速划过时,能同步点亮划过的各LED,其速度要求2S内能划过并点亮40点LED;在反显功能下能对屏上显示的信息实现反向显示;在屏幕擦除功能下能实现对屏上所显示信息整屏擦除;在笔画擦除功能下,能用光笔擦除屏上所显汉字的笔画;在连写多字功能下,能结合自选的擦除方式,在30S内以划亮方式写出四个汉字且存入机内;在对象拖移功能下,能用光笔将选定显示内容在屏上进行拖移,先用光笔以划亮方式在屏上圈定欲拖移显示对象,再用光笔将该对象拖移到屏上另一位置;当光强改变时,能自动连续调节屏上显示亮度;当光笔连续未接触屏面的时间超过1-5MIN

时,自动关闭屏上显示,并使系统进入休眠模式。

基于51单片机的汉字点阵显示设计

湖南科技大学测控技术与仪器专业
单 片 机 课 程 设 计
题 姓 学 名 号

指导教师 成 绩 ____________________
湖南科技大学机电工程学院 二〇一五年十二月制

湖南科技大学课程设计
摘要
LED 显示屏在我们的周围随处可见,它的应用已经普及到社会中的方方面面。作为 一种新型的显示器件,在许多场合都可以见到它的身影,不仅是它的应用使呈现出来的 东西更加美观,更重要的是它的应用方便,成本很低,除了能给人视觉上的冲击外,更 能给人一种美的享受。LED 显示屏是由多个发光二极管按矩阵形式排列封装而成,通常 用来显示时间、图文等各种信息。本设计是基于 ATS52 单片机的 16*16 点阵式显示屏, 该 LED 显示屏能实现 16*16 个汉字,简单的显示图像, 然后一直循环着显示下去。该设 计包含了硬件、软件、调试等方案,只需简单的级联就能实现显示屏的拓展,但要注意 不要超过负载能力。本次设计的作品体积小、功能多、方便实用、花费小,电路具有结 构简单、操作方便、精度高、应用广泛的特点。 关键词: LED,ATS51 单片机,显示屏
-2-

湖南科技大学课程设计
目录
摘要…………………………………………………………………………i 第一章 系统功能要求 ……………………………………………………1 1.1 系统设计要求 ……………………………………………………1 第二章 方案论证 …………………………………………………………1 2.1 方案论证 …………………………………………………………1 第三章 系统硬件电路设计 ………………………………………………1 3.1 AT89S51 芯片的介绍 ………………………………………………1 3.1.1 系统单片机选型…………………………………………………1 3.1.2 AT89S51 引脚功能介绍 …………………………………………2 3.2 LED 点阵介绍………………………………………………………2 3.2.1LED 点阵……………………………………………………………2 3.3 系统各硬件电路介绍 ………………………………………………3
3.3.1 系统电源电路设计介绍……………………………………………3 3.3.2 复位电路……………………………………………………………4 3.3.3 晶振电路……………………………………………………………4 3.4 系统的总的原理图……………………………………………………5 第四章 系统程序设计 ………………………………………………………5 4.1 基于 PROTEUS 的电路仿真……………………………………………5 4.2 用 PROTEUS 绘制原理 ………………………………………………6
4.3PROTEUS 对单片机内核的仿真 ………………………………………6
-3-

H题--LED点阵书写显示屏——【全国大学生电子设计大赛】

2009年全国大学生电子设计竞赛试题 参赛注意事项 (1)2009年9月2日8:00竞赛正式开始。本科组参赛队只能在【本科组】题目中任选一题; 高职高专组参赛队在【高职高专组】题目中任选一题,也可以选择【本科组】题目。(2)参赛队认真填写《登记表》内容,填写好的《登记表》交赛场巡视员暂时保存。 (3)参赛者必须是有正式学籍的全日制在校本、专科学生,应出示能够证明参赛者学生身份的有效证件(如学生证)随时备查。 (4)每队严格限制3人,开赛后不得中途更换队员。 (5)参赛队必须在学校指定的竞赛场地内进行独立设计和制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队取消评审资格。 (6)2009年9月5日20:00竞赛结束,上交设计报告、制作实物及《登记表》,由专人封存。 LED点阵书写显示屏(H题) 【高职高专组】 一、任务 设计并制作一个基于32×32点阵LED模块的书写显示屏,其系统结构如图1所示。在控制器的管理下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下;当光笔触及LED点阵模块表面时,先由光笔检测触及位置处LED点的扫描微亮以获取其行列坐标,再依据功能需求决定该坐标处的LED是否点亮至人眼可见的显示状态(如图1中光笔接触处的深色LED点已被点亮),从而在屏上实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能。 1

1 图1 LED 点阵书写显示屏系统结构示意图 二、要求 1.基本要求 (1)在“点亮”功能下,当光笔接触屏上某点LED 时,能即时点亮该点LED ,并 在控制器上同步显示该点LED 的行列坐标值(左上角定为行列坐标原点)。 (2)在“划亮”功能下,当光笔在屏上快速划过时,能同步点亮划过的各点LED , 其速度要求2s 内能划过并点亮40点LED 。 (3)在“反显”功能下,能对屏上显示的信息实现反相显示(即:字体笔画处不 亮,无笔画处高亮)。 (4)在“整屏擦除”功能下,能实现对屏上所显示信息的整屏擦除。 2.发挥部分 (1)在“笔画擦除”功能下,能用光笔擦除屏上所显汉字的笔画。 (2)在“连写多字”功能下,能结合自选的擦除方式,在30s 内在屏上以“划亮”控制器 32×32 LED 点阵模块 光笔

基于FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告 设计(论文)题目基于FPGA的LED 16×16点阵汉字显示设计 一、选题的背景和意义: LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国LED 显示屏应用逐步增多。目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。 因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。本设计可以方便的应用到各类广告宣传中。 二、课题研究的主要内容: 1. 实现16×16点阵的汉字显示; 2. 实现有限汉字显示; 4. 实现汉字的滚动显示; 5. 完成方案论证。 三、主要研究(设计)方法论述: 通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。 通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。 在EDA试验箱上进行调试。 四、设计(论文)进度安排:

时间(迄止日期)工作内容 2010.5.17-5.23 理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周) 2010.5.24-5.30 完成调研与资料收集、整理 (第2周) 2010.5.31-6.6 设计方案及原理框图确定 (第3周) 2010.6.7-7.4 电路资料收集,单元电路设计 (第4、5、6、7周) 2010.7.5-7.18 电路仿真与改进、完善 (第8、9周) 2010.19-8.1 资料整理 (第10、11周) 2010..8.2-8.8 书写毕业设计报告 (第12周) 2010.8.9-8.16 (第13周)修改毕业设计报告并整理装订 五、指导教师意见: 指导教师签名:年月日六、系部意见: 系主任签名:年月日 目录

LED显示屏基础知识

LED显示屏基础知识 (一)、概述: LED电子显示屏是集计算机技术、光电技术、微电子技术等一身的现代高科技产品。它广泛应用在车站、码头、办公大厅等公共场所,是广告宣传、新闻发布的最佳首选媒体,它不但可以播放文字、图像还可以播放VCD、DVD、TV等多媒体节目,能对其播放的文件进行编辑,利用计算机应用软件进行特殊处理后播放,还能显示时钟、天气温度等信息。 (二)、系统组成(参见LED显示屏连接示意图) 1、电子显示屏屏体 2、计算机及网络控制系统 3、通信系统 4、显示屏供配电系统 5、多媒体音、视频编辑、制作、播放系统 (三)、显卡的设置 在显示器桌面空白处单击鼠标右键,进入“属性”设置项,单击“屏幕保护程序”,将屏幕保护程序设为“无”,然后单击右下脚“电源”项,将电源使用方案下的“关闭监视口、关闭硬盘、系统待机、系统休眠”全设为“全不”然后应用该设置 回到主设置菜单,单击“设置”选项。将显示屏分辨率设为1024X768,颜色质量设为“最高32位”应用设置。 单击右下角“高级”选项,单击“监视器”项设屏幕刷新频率为60HZ, 单击“显示”项,使第四项即PDF项按扭显示为绿色,应用该设置显卡设置完毕. (四)、网线制作 使用普通8芯5类网线传输距离在100米内。 网线压线线序为:白橙、橙、白绿、蓝、白蓝、绿、白棕、棕,即国标网线568B压法。

一、相关配件说明 1、框架结构图,此图仅供参考(图1-1) 1-1 2、箱体后视结构 2.1 B、C为RJ45水晶头插座,用于连接各箱体之间的信号线。 3、控制系统 3.1 显示卡如图(1-3) ·P为数字视频输出口(DVI) ·Q为CRT显示接口 3.2发送卡如图(1-4) 1-3 ·DVI为数字视频输入口 ·U、D为RJ45信号线输出口 ·232为串口信号输入 3.3 接收卡如图(1-5) ·P为接收卡电源线输入端 ·A为RJ45信号线输入口 ·B为RJ45信号线输出口 U D 232 DVI P A B 1-4 1-5

LED点阵手写显示屏

LED点阵书写显示屏 所在院系:电气与控制工程学院 作者: 李向杰、刘赟超、符祝辉 日期:2015年07月25日

摘要 本系统以MSP40F149为核心,设计并制作了一个基于32×32LED点阵显示模块书写显示屏。该点阵可以实现扫描微亮及显示点亮两种工作方式,在扫描微亮的状态下,通过自制光电笔扫描和按键切换可实现“点亮、划亮、擦除、反显”等书写功能和“显示点亮坐标”等显示功能。硬件设计方案使用74H595来驱动LED点阵显示,通过1602液晶来显示坐标。作品技术要求不高但对扫描速度和硬件系统的搭建有较高的要求。 关键词:MSP430F149;74H595;光电三极管;LED点阵

1 系统方案设计 1.1 系统总方案设计 整个系统由MSP430F149微控制器作为系统的核心控制芯片,32*32LED点阵起到了各种形式显示的作用,通过光电三极管和LM293比较器构成光电检测电路,1602液晶显示在进行点扫描时对点坐标的显示、光敏电阻感光电路的模块组成。系统结构如图1-1所示。 图 1-1 系统结构图 2 系统方案论证 2.1主控制器选择论证 方案一:STC89C51单片机是8位单片机。其指令是采用的称为“cisc”的复杂指令集,共具有111条指令。其电源电压为5伏,有两种低功耗模式,待机方式和掉电方式。正常情况下消耗的电流为24mA,在待机状态下其耗电电流仍为3mA,为了保存RAM中的数据,还需提供约50mA的电流。再者,虽然其具有不少开发工具,但如何在线编程还是一很大问题。 方案二:CPLD(EPM240T100C5):具有丰富的I/O口、内部逻辑和连线资源、运行速度快、能够显示大量的信息,但CPLD实现运算功能复杂,在该系统中,需要显示的信息量较少,但是控制和运算功能较多,用CPLD实现一些运算功能复杂。 方案三:MSP430系列的单片机电源电压采用1,8-3.6V低电压,RAM数据保持方式下耗电仅0.1uA,IO口漏电流最大仅为50nA。另外,其采用矢量中断,支持十多个中断源,并可以任意嵌套。独特的时钟系统设计使其具有5种低功耗模式可供选择。 基于以上所述,我们选用MSP430F149型单片机。 2.2 光电检测笔选择论证

8×8LED点阵显示汉字课程设计

目录 第1章本设计的研究背景及目的要求 0 1.1凌阳单片机 0 1.2 LED(8×8)点阵模块简介 (1) 第2章设计方案和基本原理 (3) 2.1设计方案 (3) 2.2 基本原理 (3) 1. 8×8LED点阵的工作原理 (3) 第3章程序设计 (6) 3.1程序流程图 (6) 3.2 程序代码 (6) 第4章调试结果及分析 (8) 4.1调试结果 (8) 4.2结果分析 (9) 第5章结论与体会 (10) 参考文献 (11) 附录 (12)

第1章本设计的研究背景及目的要求 1.1凌阳单片机 (1)来源 随着单片机功能集成化的发展,其应用领域也逐渐地由传统的控制,扩展为控制处理数据处理以及数字信号处理,DSP(Digital Signal Processing)等领域。凌阳的16位单片机就是为适应这种发展而设计的。 (2)构造 它的CPU内核采用凌阳最新推出的Microcontroller and Signal Processor 16位微机处理器芯片,以下简称μ’nSP?。围绕μ’nSP?所形成的16位μ’nSP?系列单片机,以下简称μ’nSP?家族。采用的是模块式集成结构,它以μ’nSP?内核为中心集成不同规模的ROM PAM和功能丰富的各种外设部件。μ’nSP?内核是一个通用的和结构。除此之外的其它功能模块均为可选结构。以及这种结构可大可小可有可无,借助这种通用结构附加可选结构的积木式的构成,便可成为各种系列的派生产品,以适合不同场合,这样做无疑会使每种派生产品具有更强的功能和更低的成本。μ’nSP?家族有有以下特点:体积小,集成度高,可靠性好易于扩展。μ’nSP?家族把各功能把各功能部件模块化地集成在一个芯片里。内部采用总线结构,因为减少了各功能部件之间的连接,提高了其可靠性和抗干扰能力,另外,模块化的结构易于系列的扩展,以适应不同用户的需求。具有较强的中断处理能力。μ’nSP?家族的中断系统支持10个中断向量及10余个中断源,适合实时应用领域。高性能价格比:μ’nSP?家族片内带有高寻址能力的ROM,静态RAM和多功能的I/O口,另外μ’nSP?的指令系统提供出具有较高运算速度的16位,16位的乘法运算指令和内积运算指令,为其应用添加了DSP功能,使得μ’nSP?家族运用在复杂的数字信号处理方面既很便利又比专用的DSP芯片廉价。 优点: 功能强、效率高的指令系统:μ’nSP?的指令系统的指令格式紧凑,执行迅速,并且其指令结构提供了对高级语言的支持,这可以大大缩短产品的开发时间。低功耗、低电压:μ’nSP?家族采用CMOS制造工艺,同时增加了软件激发的弱振方式,空闲方式和掉电方式,极大地降低了其功耗,另外,μ’nSP?家族的工作电压范围大,能在低电压供电时正常工作,且能用电池供电,这对于其在野外作业等领域中的应用具有特殊的意义。 (3)应用领域 凌阳单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴: 1.在智能仪器仪表上的应用 单片机具有体积小、功耗低、控制功能强、扩展灵活、微型化和使用方便等优点,广泛应用于仪器仪表中,结合不同类型的传感器,可实现诸如电压、功率、频率、湿度、温度、流量、速度、厚度、角度、长度、硬度、元素、压力等物理量的测量。采用单片机控制使得仪器仪表数字化、智能化、微型化,且功能比起采用电子或数字电路更加强大。例

题目:16×16点阵LED电子显示屏的设计

机器人创新设计 课程设计报告书 题目:16×16点阵LED电子显示屏的设计 姓名:张津 学号:1613010320 专业:国际经济与贸易

指导老师:于大泳 设计时间:2017年3月 管理学院 目录 1. 引言 (3) 1.1 设计意义 (3) 1.2 系统功能要求 (3) 2. 方案设计 (4) 3. 硬件设计 (5) 4. 软件设计 (8)

5. 系统调试 (9) 6. 设计总结 (11) 7. 附录A.:源程序 (11) 8. 附录B.:作品实物照片 (16) 9. 参考文献 (17) 16×16点阵LED电子显示屏的设计 1.引言 1.1 设计意义 目前广告牌具有显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。

1.2 系统功能要求 本设计是一16×16点阵LED电子显示屏的设计。整机以40脚单片机AT89C51为核心,通过该芯片控制列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字。显示可以采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。开关控制显示“矿大电气五班”、“电子综合设计”的字幕。 同时还要实现的功能:5V的电压输入,时钟电路的设置,复位电路的设置,单片机给74HC154芯片同时给E1和E2低电平,74LS154才能正常的工作。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y,16*16LED点阵如图1.2.1所示。 图1.2.1 2.方案设计 16X16点阵LED工作原理说明 : 16X16点阵共需要256个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1 电平,某一行置0电平,则相应的二极管就亮;因此要实现一根柱形的亮法,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的

基于C8051的LED点阵书写显示屏设计

基于C8051的LED点阵书写显示屏设计 本系统以高速单片机C8051F020片上系统为控制核心,设计方案采用了单片机的IO口控制4-16线译码器74HC154从而达到控制32×32LED点阵的行和列、光笔定位点阵的行与列的坐标、采用单片机的PWM波控制点阵的光亮度等等。实现“点亮、划亮、反显、整屏擦除、笔画擦除、轮流显示英文字母和“电子设计”、两点划线、两点画圆、亮度调节、抗锯齿平滑处理等书写显示功能,并且通过按键可以实现不同功能之间的切换。 标签:LED点阵;片上系统;74HC154;PWM波 1 引言 随着科技的日益发展,用户对一些电子产品提出更高的需求。比如先前的点阵屏只能显示一些时钟和广告字符等信息,但是现在人们需要该类产品能够自己通过画笔写入信息,并一直显示在LED屏上,针对这一应用,我们设计了一款以高速单片机C8051F020为核心的LED点阵屏电路板,并且系统经过各项指标测试后完全满足系统设计要求。 2 系统总体方案设计 本次设计的系统总体框架图如1图所示。根据设计要求,包括有主控电路模块,按键电路、LED32×32点阵模块、光笔电路。通过单片机选通相关的译码器,通过选通8550使之与2.5V电压导通。使点阵显示屏处于微亮状态,然后用光电笔进行光信号检测,这样就可以检测到光信号,并进行高亮,这就可以实现写状态。并且可以通过按键实现功能的切换,实现全亮,反显,擦除等等功能。 2.1 32×32 LED点阵的驱动控制电路:将两片74HC154串联使用,实现32×32 LED点阵的行驱动,这样就构成一个5-32译码器电路来进行行驱动,译码器输出的输出信号通过单片机的输出总线来控制。列的微亮扫描点亮也是采用两片74HC154串联的方式,我们采用四个片选分别对LED屏的亮度进行单独控制,设计的电路用快速三极管开关电路,分别采用2.8V电源和5V电源对微亮扫描以及点亮扫面来供电。设计采用的显示屏为1024个,显示方式为循环亮,因此我们在设计电路时取100R的限流电阻为了提高显示亮度。如图2所示为驱动电路。 微亮扫描工作时流过的电流:(2.8-1.8)/100=10.00mA 点亮点阵工作状态下流过的电流:(5-1.8)/100=32.00mA 由计算可知,流过LED电流尽管较大,但在整个运行时间段内占空比很小,因此不会影响LED灯的正常使用。

24乘24点阵汉字显示设计

HUBEI NORMAL UNIVERSITY 综合课程设计 (二) Integrated Curriculum Design(2)

目录 1 设计目的 0 2 设计思路 0 3 设计过程 0 时钟电路模块 (1) 复位电路模块 (1) 单片机控制模块 (2) 显示模块 (2) 列控制模块 (2) 行控制模块 (2) 汉字取模 (3) 4电路仿真与分析 (3) 仿真结果显示 (3) 仿真分析 (5) 5 焊接实物 (5) 点阵部分 (5) 最小系统 (5) 整体实物图 (5) 焊接线路图 (6) 6 总结 (6) 参考文献 (6) 附件 (7)

LED点阵(24*24)汉字系统设计 1 设计目的 (1) 熟悉Proteus仿真软件的使用,了解各元件的功能及作用; (2) 熟悉LED点阵的行与列的判别方法,以及熟悉一般设计过程。 (3) 熟悉AT89C52单片机的基本结构、引脚功能、存储器结构等基本知识。 (4) 掌握74HC138芯片的引脚功能及使用方法,芯片的级联方法,以及掌握电路的基本调试能力。 (5) 掌握Keil软件的使用方法,以及如何创建文件和编写程序。 2 设计思路 本次设计采用Proteus单片机仿真平台对用9个8*8点阵组成的24*24点阵式LED显示屏进行仿真设计,实现汉字显示。在设计中共有6个模块,其分别是时钟电路模块,复位电路模块,单片机控制模块,显示器模块,列控制模块,行控制模块。在Proteus中完成硬件的设计,同时采用Keil开发平台软件设计程序,最终实现点阵显示屏的特定汉字显示。 3 设计过程 LED点阵汉字系统主要有6个模块,每个模块都有自己特定的功能,是不可缺少的组成部分。在设计前的首要条件是先对LED点阵汉字系统的实现过程有深入的了解,然后按功能分模块设计电路,最后组成完整的工作电路。 本次设计由AT89C52作为主控单元,显示屏选用9个8*8点阵显示模块来组成24*24点阵显示器,行控制直接使用单片机的引脚控制,列控制选用3个移位寄存器74HC138来控制,汉字的字模是使用取模软件实现的。本系统的总体设计图1所示。

LED显示屏知识大全(扫盲专用)

LED显示屏知识大全(扫盲专用) 2017年5月

目录 2017年5月 (1) 一.LED显示屏的分类 (3) 二.LED显示屏的基本构成 (3) 三.LED显示屏涉及的名词概念 (4) 1、像素: (4) 2、显示模块: (4) 3、显示模组: (5) 4、LED显示屏屏体: (6) 5、点距: (8) 6、扫描方式: (8) 四.LED显示屏的两种常规组装方式 (9) 五.LED显示屏显示原理 (10) 六.单元板/模组认识 (11) 七.显示板芯片简介 (13) 八.控制信号与显示接口 (17) 1、单元板: (18) 2、电源: (18) 3、控制卡: (19) 4、连线: (19) 5、配件制作: (19) 6、布线指南: (20) 7. 外框制作: (22) 十.08接口转12接口原理 (29) 十二.汇总LED显示屏中的常见IC (30)

一.LED 显示屏的分类 分类方式 品 种 说 明 使用环境 室内LED 显示屏 室内LED 显示屏在室内环境下使用,此类显示屏亮度适中、视角大、混色距离近、重量轻、密度高,适合较近距离观看。 室外LED 显示屏 室外LED 显示屏在室外环境下使用,此类显示屏亮度高、混色距离远、 防护等级高、防水和抗紫外线能力强,适合远距离观看。 显示颜色 单基色LED 显示屏 单基色LED 显示屏由一种颜色的LED 灯组成,仅可显示单一颜色,如红色、绿色、橙色等。 双基色LED 显示屏 双基色LED 显示屏由红色和绿色LED 灯组成,256级灰度的双基色显示屏可显示65,536种颜色(双色屏可显示红、绿、黄3种颜色)。 全彩色LED 显示屏 全彩色LED 显示屏由红色、绿色和蓝色LED 灯组成,可显示白平衡和16,777,216种颜色。 显示功能 图文LED 显示屏(异步屏) 图文LED 显示屏可显示文字文本、图形图片等信息内容。可联网脱机显示。 视频LED 显示屏 (同步屏) 视频LED 显示屏可实时、同步地显示各种信息,如二维或三维动画、录像、电视、影碟以及现场实况等多种视频信息内容。 二.LED 显示屏的基本构成 1、异步屏: 2、同步屏:

第7题 LED点阵书写显示屏

青岛大学 电工电子实验教学中心 全国大学生电子设计竞赛 LED点阵书写显示屏 (H题) 殷凯李健李文超 2015年5月26日

LED点阵书写显示屏(H题) 摘要 本设计基于16位超低功耗单片机MSP430G2553,使用74HC595串行驱动一块16×16的LED点阵屏,由单片机控制LED点阵屏逐行逐点进行扫描,通过光敏三极管构成的光笔将LED点阵屏上扫描产生的光信号转化为电信号,并将其送入单片机自带的ADC10模块进行模数转换,根据设定的阈值电压可以判断光笔的接触点坐标,并实现“点亮、擦除、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等功能,此外还可以使用上位机显示并控制LED点阵屏的运行状态,本设计具有功耗低、使用简单、可靠性高等特点。 关键词 MSP430 LED点阵光敏三极管 74HC595 Abstract The design of ultra low power 16 bit microcontroller based on MSP430G2553, using the 74HC595 serial driver a 16 x 16 LED dot matrix screen, controlled by the microcontroller LED dot matrix screen line point by point scanning, a light pen through the phototransistor will have a scan LED dot matrix screen light signals into electrical signals, and sends it to ADC10 SCM module for analog-to-digital conversion, the threshold voltage can be judged according to the contact point of the light pen coordinate, and the realization of "light, erase, strike, anti significant, erase screen, erase, write more words, strokes object dragging" function, also can use the computer to display and control the running state of LED dot matrix screen, has the characteristics of low power consumption, high reliability, easy to use this design. Keywords MSP430 LED Dot Matrix Photosensitive Transistor 74HC595

电子综合设计-16X16汉字点阵显示设计

电子综合设计方案 题目:16*16点阵汉字显示屏显示设计年级专业:电气 学生姓名:

2011年12 月10 日 第一章课题简介 1.1 LED显示简介 LED显示屏:它是一种通过控制半导体发光二极管的显示方式,其大概的样子就是由很多个通常是红色的发光二极管组成,靠灯的亮灭来显示字符。用来显示文字、图形等各种信息的显示屏幕。 LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点在车站、证券所、运动场馆、交通干道及各种室内/外显示场合的信息发布,公益宣传,环境参数实时,重大活动倒计时等等得到广泛的应用。 单片机控制系统程序采用单片机汇编语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写,也可从标准字库中提取。

第二章课题系统整体方案 2.1 需要实现的功能 本设计是一16×16点阵LED电子显示屏的设计。整机以40脚单片机AT89C51为核心,通过该芯片控制列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字。显示可以采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。开关控制显示“矿大电气五班”、“电子综合设计”的字幕。 同时还要实现的功能:5V的电压输入,时钟电路的设置,复位电路的设置,单片机给74HC154芯片同时给E1和E2低电平,74LS154才能正常的工作。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y,16*16LED 点阵如图2.1.1所示。 图2.1.1

基于单片机STC11F32的LED点阵书写显示屏的设计

2011年10月第22卷第5期照明工程学报 ZHAOMING GONGCHENG XUEBAO Oct.2011Vol.22No.5 基于单片机STC11F32的LED 点阵书写 显示屏的设计 王海燕 高之圣 徐江海 (淮安信息职业技术学院,江苏淮安 223003) 摘 要:本系统以高速单片机STC11F32为核心,设计并制作了一个基于32?32点阵LED 书写显示屏。能够实现 扫描微亮和显示点亮两种工作模式,通过自制光笔实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能,同时该显示屏还具有坐标显示,能够根据环境光强弱的变化,自动调节显示屏上的亮度,系统还具有休眠功能。关键词:STC11F32;LED 点阵;光笔 Design of LED Lattic Writing Display Screen Based on Chip Microcomputer STC11F32 Wang Haiyan Gao Zhisheng Xu Jianghai (Huaian College of Information Technology ,Jiangsu Huaian 223003) Abstract This system founded on STC11F32,designed and produced a 32?32lattice LED writing displays.It can realize two work modes of scanning WeiLiang and display with the light pen by “light ,scratched ,reverse ,completed erase ,stroke erase ,object drag ”and so on.This screen also shows the coordinate.It can adjust the screen brightness automatically according to the change of the environment light.Besides ,the system has the sleep mode. Key words :STC11F32;LED Dot-Matrix ;lightpen 1引言 LED 点阵电子显示屏制作简单,安装方便,被 广泛应用于各种公共场合,但是这种电子显示屏只能做单一的“显示”作用,显示内容的更改、擦除等功能的实现都要在上位机上实现。能否用LED 点阵显示屏实现“书写”功能,本文基于这一想法,设计并制作一个基于32?32点阵LED 模块的书写显示屏,基本结构如图1。 主要采用STC11F32单片机为主控制器,通 过图1 LED 点阵书写显示屏系统结构示意图 自制光笔在屏上实现“点亮、划亮、反显、整屏擦 除、笔画擦除、连写多字、对象拖移”等书写显示 功能。

课程设计LED1616点阵显示设计

课程设计IV 设计说明书LED16*16点阵显示设计 学生姓名 学号 班级 成绩 指导教师 数学与计算机科学学院 2013年月日

课程设计任务书 专业:学号:姓名: 课程设计名称:课程设计IV﹙10171010﹚ 设计题目:LED16*16点阵显示设计 完成期限:自2013 年9 月 2 日至2013 年9 月14 日共 2 周 设计依据要求及主要内容 一、目的任务: 依据实验器材提供的功能,利用并行接口芯片8255A和LED点阵模块显示字符,用取模软件建立标准字库,并编制程序实现点阵循环左移显示汉字。 二、设计内容: 1. 复习相关课程内容:微机原理及应用课程相关内容;汇编语言程序设计的相关内容;熟悉模拟电路、数字电路的相关知识; 2. 熟悉实验相关器材的主要功能。 3. 在上述基础上,根据课程设计的基本要求,完成以下各项任务(反映在设计说明书中): (1)题目要求涉及的硬件电路图及摘要说明。 (2)题目的工作原理及相应描述。 (3)程序流程框图。 三、设计要求: 1.软件程序文档(); 2.硬件电路图(用专业软件); 3.完成实验; 4.完成设计说明书。 课程设计评阅 评语: 指导教师签名: 年月日

摘要 利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字; 关键词:芯片;并行;循环

目录 1. 设计目的 (1) 2. 设计内容 (2) 3. 硬件电路设计 (3) 3.1 8255 (3) 3.2 138译码器 (3) 3.3 371锁存器 (3) 3.4 LED动态显示原理 (3) 3.5 整个系统的仿真电路图 (6) 4. 字模生成 (7) 5. 程序设计 (8) 5.1 程序设计整体思路 (8) 5.2 程序流程图 (8) 5.3 源程序 (10) 6. 系统功能测试 (13) 6.1 实物测试 (13) 6.2 仿真测试 (13) 7. 总结 (14) 参考文献 (15)

相关文档
最新文档