任意波形信号发生器

任意波形信号发生器
任意波形信号发生器

一、题目要求及分析

1.1题目要求

任意波形信号发生器

利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形:

1)正斜率斜波;

2)正弦波;

3)锯齿波;

4)任意波形。

用示波器观察输出波形。

硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。

软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。

1.2题目分析

VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。

在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化的设计,对功能的修改和增加,只要修改VHDL源程序,而不必更改硬件电路。实现数字系统硬件的软件化。

任意信号发生器体现在它能选择输出四个波形,即正斜率斜波、正弦波、锯齿波、任意波形;

还可以改变波形的某些表征参量,从而控制输出的波形。其主要问题是波形的选择和准备与输出的模拟信号波形相对应的数字信号,前者可以通过外接开关从而选择输出什么样的波形,后者可以通

过建立相应波形的数字信号模块得到,然后建立一个信号,用来保存所采点的数据,最后把该信号送给ADC0832的输入数据端口就可以了。这两个主要问题当然在做的过程中还需要考虑到数据的选择、位宽的大小、管脚的取舍等问题。

二、任意波形信号发生器方案设计

2.1系统设计框图与思路

1.系统的设计框图

利用电子设计自动化(EDA)技术设计的波形信号发生器,与传统的信号发生器相比,具有高稳定度、高精度、高分辨率的优点。该项目利用Alter 公司的可编程逻辑器件EPF10K10,采用EDA 技术,实现可通过改变时钟频率来调制频率、幅值的多种常用信号。

根据设计要求,多波形信号发生器主要由5部分组成,即时钟信号、波形产生器、波形选择器、数/模转换电路、低通滤波电路。在时钟信号的作用下,由波形选择器选择要输出的波形,波形产生器生成频率可变的波形数字信号,经数/模转换电路、低通滤波电路,最终输出所需波形信号。另外,输出波形幅度的调节可通过改变A/D转换芯片电阻网络的基准电压实现。

系统的设计框图如下:

图2.1 系统设计框图

函数发生器有波形选择开关控制波形的输出,分别能输出正斜率斜波、锯齿波、正弦波、任意波形,考虑程序的容量,每种波形在一个周期内均的取样点不能太多。

2.函数发生器的设计思路

本次课程设计采用FPGA作为中心控制逻辑,由于其具有高速和逻辑单元数多的特点,因此可以由FPGA、DAC和I/V运放直接构成信号源发生器的最小系统。在该方案中通过FPGA控制DAC 并直接向DAC发送数据,这样就提高了所需波形的频率并绕过了通用存储器读取速度慢的特点,再加上外部的开关按钮就能够简单控制波形切换及改变波形的某些表征参量,能够通过编程实现波形的任意性、幅度变化的灵活性等功能。

本次课程设计采用自顶向下的设计方法进行设计,包含顶层文件的设计和底层文件的设计。顶层的设计是把下层各模块连接起来,采用文本输入的方式,通过元件例化的方法,调用各元件,实现函数发生器的设计。在顶层的设计中,clk,reset,ob,si,dl为系统的控制信号输入端,Q为数字信号的输出端口:clk为系统时钟信号输入端,reset为系统复位信号,ob,si,dl 是系统波形选择数码开关,通过改变开关的通断可以选择输出的波形;FPGA产生数字信号,并且由Q端口送入ADC0832的输入数据端口,这样数字信号经D/A转换器转换成为模拟信号。但是DAC0832C 以电流形式输出转换结果,若要得到电压形式的输出结果需另加I/V转换电路,这时可采用运算放大器,这里我们采用TLC082实现电流-电压转换,即可转换成模拟电压信号,输出的波形经过低通滤波电路可以滤除毛刺及干扰信号,这样就可以得到较清晰的波形,可以通过示波器观察所得波形。底层设计建立基本的模块,实现相应的功能,便于元件例化,包含波形选择、正弦波、正斜率斜波、锯齿波、任意波形等模块: 波形选择模块外接开关,利用开关选择要输出的波形及产生相应的数字信号,以便于送入ADC0832的输入数据端口进行数据转换,从而输出所选择的波形;正弦波模块、正斜率斜波模块、锯齿波模块、任意波形模块分别产生与各自相对应的正弦波的数字信号、正斜率斜波的数字信号、锯齿波的数字信号、任意波形的数字信号等模块,各个波形模块所产生的数字信号送入波形选择器中,以便于波形选择器选择并输出数字信号。此外,信号的频率和幅值及其他表征参数可通过时钟(CLK)信号的改变来调节。

值得注意的是,波形数据的建立是整个程序设计中最重要的问题。FPGA输出的数字信号需要经D/A转换器转换成各种波形输出。而由D/A转换器可知,DAC0832的分辨率是8位,这样,将模拟信号的各种波行在一个周期内平均分成255份,由于已经确定每周期的取样点数为64,即每隔2π/64的间隔取值一次,所取的值为该点对应的波形的值,通过计算可以获得64个取样点的值;

也可以通过查表的方法取得64个取样点的值。

3.顶层设计

其程序如下。

--------------开始------------------------------ library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

------------------------------------------------

------------------------------------------------ entity s_gen is

port(clk ,reset: in std_logic;

ob,si,dl: in std_logic;

cs,wr:out std_logic;

Q:out std_logic_vector(7 downto 0)); end entity s_gen;

------------------------------------------------

------------------------------------------------ architecture behav of s_gen is

--------元件定义语句---------------------------- component obl is

port(clk,reset:in std_logic;

Q:out std_logic_vector(7 downto 0)); end component obl;

component sin is

port(clk,reset:in std_logic;

Q:out std_logic_vector(7 downto 0)); end component sin;

component dlt is

port(clk,reset:in std_logic;

Q:out std_logic_vector(7 downto 0)); end component dlt;

component chs is

port(ob,si,dl: in std_logic;

obl,sin,dlt: in std_logic_vector(7 downto 0);

Q:out std_logic_vector(7 downto 0));

end component chs;

----------信号定义----------------------------- signal J,K,L: std_logic_vector(7 downto 0); begin

----------启动DAC0832-------------------------- process(clk)is

begin

if(clk'event and clk='1') then

if(reset='1') then

cs<='1';

wr<='1';

else

cs<='0';

wr<='0';

end if;

end if;

end process;

---------元件例化------------------------------

u0: obl port map(clk,reset,J);

u1: sin port map(clk,reset,K);

u2: dlt port map(clk,reset,L);

u3: chs port map(ob,si,dl,J,K,L,Q);

-----------------------------------------------

end architecture behav;

-----------结束--------------------------------

4.下层设计

4.1 正弦波的设计

DAC0832的分辨率是8位,这样,将模拟信号的正弦波在一个周期内平均分成255份,由于已经确定每周期的取样点数为64 ,所取的值为该点对应的正弦值,可用加法计数器和译码电路完成。首先对幅度为1的正弦波的一个周期分为64个采样点,根据正弦波的函数关系计算得到每一点对应的幅度值,然后量化为8位二进制,最大值为255,最小值为0,以此得到正弦波波表。加法计数器生成译码电路的64个输入值,译码电路查波表输出。

4.2 方波的设计

由于方波的占空比是50%,且只有两个状态,所以方波的取样比较简单。即前32个取样点取值为高电平“11111111”,后32个取样点取值为低电平“00000000”就可以了。通过交替送出全0和全1,并给以32个时钟延时实现,64个时钟为一个周期。

4.3 三角波的设计

三角波在一个周期内具有对称性,而且波形是线性的。该模块可设计一个可逆计数器实现。设计时设置一变量作为工作状态标志,在此变量为全0时,当检测到时钟的上升沿时进行加同一个数操作,为全1时,进行减同一个数操作。由于A/D转换采用8位的DAC0832芯片,且设64个时钟为一个三角波周期。

4.4 锯齿波的设计

锯齿波在一个周期内的波形也是线性增长的,所以锯齿波的取值可以根据公式计算得到,m的取值是0~31。

4.5 波形选择器的设计

设计一个四选一的数据选择器即可,根据外部开关的状态,选择调用上述设计的四种波形模块。

用CASE语句设计完成。在外接开关的控制下选择输出一种波形输出,同时完成两种波形的线性组合。波形组合是将波形每一时刻的数值相加,为了不超出DAC0832的输出范围,做相应的除2操作。

5.波形仿真

仿真环境是ALTERA公司的MAX+plusⅡ软件,设置不同的S的值,实现不同的波形输出,当S=0

时,输出正弦波,仿真波形如下图所示,可以看出,输出数据的变化规律是正弦规律。

当S=1时,输出为三角波,其仿真波形如图所示,从图中可以看出,波形线性增长到最大值后再线性减少,符合三角波的变化规律。

当S=2时,输出方波,从下图的仿真波形可以看出,其数据规律为半周高电平半周低电平的方波的规律。

当S=3时,输出锯齿波,从仿真图的数据可以看出,其数据规律是线性增长的斜波,符合锯齿波的变化规律。

2.2框图模块功能描述及技术指标分析

2.3 电路原理图

2.4 相关芯片介绍

数模转换芯片DAC0832

D/A转换器的类型很多,从输入电路来说,一般的D/A转换器都带有输入寄存器,与微机能直接对接。在此方案中采用分辨率为8位的DAC0832集成电路芯片。它由NSC公司(National Semiconductor Corporation)所生产,采用低功耗的CMOS工艺制成。它具有连接简单,转换控制方便、价格低廉等特点,在各微机系统中得到广泛的应用。

DAC0832的结构框图

DAC0832是具有20个引脚的双列直插式芯片。其内部实际上是一个T型电阻网络,在外部运算放大器配合下完成D/A转换工作。DAC0832的内部包括两个8位寄存器、1个8位转换器和相应辅助电路。

DAC0832内部有两个寄存器,能实现双缓冲、单缓冲和直通三种工作方式。

DAC0832的引脚图(管脚图)及功能:

DAC0832引脚功能介绍:

DI0~DI7:数据输入线,TLL电平。

ILE:数据锁存允许控制信号输入线,高电平有效。

CS:片选信号输入线,低电平有效。

WR1:为输入寄存器的写选通信号。

XFER:数据传送控制信号输入线,低电平有效。

WR2:为DAC寄存器写选通输入线。

Iout1:电流输出线。当输入全为1时Iout1最大。

Iout2: 电流输出线。和IOUT1为一组互补的模拟电流输出端,其值与Iout1之和为一常数。

Rfb:反馈信号输入线,芯片内部有反馈电阻,与运放配合构成I/V转换电路。

Vcc:电源输入线 (+5v~+15v)

Vref:基准电压输入线 (-10v~+10v)

AGND:模拟地,摸拟信号和基准电源的参考地.

DGND:数字地,两种地线在基准电源处共地比较好.

2.5程序主流程图以及子流程图

三、ST7920指令说明

四、具体功能程序及硬件实现

4.1主要功能程序实现

?

4.2实验步骤

?

(4)锁定管脚:点击工具栏上的Assign Device 进行芯片选择,再点击Assign 锁定管脚:在Node Name 中输入所需锁定的管脚的名称(如clk),在Pin 中选择管脚号,然后点击Add 即可,同理锁定别的管脚。

(5)硬件下载:用数据线将实验箱和计算机连接,打开

实验箱电源,在计算机上操作:点击工具栏上MAX+plus2 Programmer Configure,即可下载。

(6)测试:将示波器接实验箱“AOUT”口,在实验箱中按下使能键(en 管脚所封处),用短路帽选择时钟频率,即可测出正弦波形。

2.三角波信号的产生

与正弦波信号产生的步骤一样,只需把正弦数据换成三角数据,其他操作步骤均与上面一致。

3.方波信号的产生

(二)设计结果

本项目实现了常用的三种波形信号:正弦波、三角波和方波。节。

(三)结论

文中利用电子设计自动化(EDA)技术,先应用VHDL 语言设计,再通过MAX-plus2 和EDA 实验箱下载至Alter 公司的可编程逻辑器件EP1K30TC144-3,结果成功设计出数字波形信号发生器。本设计成果能产生波形的频率为1.3KHz~200KHz。所产生的频率不是很高,但如能有更高的时钟,将能够实现更高频率的信号。

4.3硬件电路实现与显示结果

硬件电路连接及液晶显示结果如下图4.1及图4.2所示:

图4.1 硬件电路实物连接

图4.2 液晶结果显示

4.4试验的结论

(1)、应用EDA技术用FPGA完成波形发生器,通过选择波形的按钮,实现4种波形的互相转换。利用示波器可以验证波形发生器与原定的设计的理论是相一致。

(2)、用FPGA完成波形发生器的方法与传统的方法相比较,硬件结构是相当简单的。大大减小了它的体积和重量。可以节去传统的烦琐的硬件设计和硬件组合。易于使用,按下选择波形的选择按钮,即可选出你所需的波形。

(3)、应用EDA技术完成波形发生器的设计,设计简单。可以看到利用EDA技术完成一个电子设计,可以节省我们开发时间,从而提高大大地了我们的效率。采用新技术就是为了提高效率,快速完成设计任务。我们做一个项目时,所在追求的也是快且高效率。不断地去快速掌握并能快速地应用新技术是我们做技术的人的基本素质。我们要注重自己在这方面的能力。当然我们不能废弃我们基本的东西—学科基础,全面发展自己,这需要我不断去追求。

4.5试验中遇到的问题

本次试验中遇到了很多问题,首先是对芯片很陌生,不熟悉其工作原理、及指令,这个问题,通过查阅大量图书、上网搜索相关资料以及向老师请教,很容易就解决了。其次

在编译程序时出现了一些错误,经过仔细的思考,最终搞明白了错误的原因,改正了错误。

本次试验基本实现了课程设计的要求,但由于实训时间过于短暂,还存在着一些问题,这些问题只能以后有时间了再来解决。

五、总结与体会

炎炎夏日,在这维期一周的电子综合设计中,我们小组对任意信号发生器这一选题进行了讨论研究及相关方案设计。

通过这次电子综合实训,我们对A/D转换芯片ADC0832有了深入的了解,由刚开始的对其的相关功能及使用的茫然,到对其基本使用原理和指令操作熟悉使用,期间遇到了系列问题,但这也加深了我们对A/D转换芯片ADC0832的认知。此外,我们也深深意识到理论与实践结合的重要性。

在这次四人一小组的实训,我们共同合作,查阅了大量的相关资料,力求做到规范清晰。在把握了整体的思路的基础上,完成了系统方案的设计,然后我们从程序流程图着手,将整个程序分成若干模块,分开编写,一边发现问题一边解决问题,软硬件结合,在实验板上检验程序测试情况,根据现象不断修改。在这个过程中我们体会到编程的技巧,设置子程序的合理性,使我们的思维的锻炼与能力培养有了很大的提高和长足的进步。

此次课程设计对我们在EDA 技术的学习中有着很重要的影响,通过实验我们非常充分的运用了实验室的器材,发挥团队精神,不畏困难,根据自己所学的EDA 知识,认真的分析了老师要求的设计任务,明确了设计思路,我们齐心努力下成功的完成了多功能信号发生器的设计,对EDA 技术的运用有了深一层的认识,对VHDL 程序语言设计有了更深的理解。其间,我们很多困难,在老师和同学的帮助下得以顺利解决,因此在这里对帮助过我们的老师和同学表示真心的感谢。

参考文献

[1] 钟承尧,严世胜. 单片机汉字显示系统的设计[J]. 学术探讨应用技术与研究,2006:70-71.

[2] 深圳市耀宇科技有限公司.YM12864R 图形点阵液晶显示模块使用手册[EB/OL].

http://www.yaoyu-lcm.com.

[3] 赵建领,薛园园等.51单片机开发与应用技术详解[M].北京:电子工业出版社,2009.

[4] 洪家平. 中文图形显示控制芯片ST7920 的原理与应用.

[5]张洪润.单片机应用设计200例.下(第一版).北京航空航天大学出版社,2006.

附录

多波形函数信号发生器方案

个人资料整理仅限学习使用中文摘要

英文摘要

目录 1 引言.......................................................... - 1 - 2函数信号发生器设计要求及过程.................................. - 2 - 2.1函数信号发生器设计要求 (2) 2.2函数信号发生器电路设计的基本原理 (2) 2.3运算放大器的介绍 (3) 2.3.1迟滞电压比较器......................................... - 3 - 2.3.2 积分电路.............................................. - 5 - 2.4差分放大器的介绍 (6) 3总体电路设计 (7) 3.1方波—三角波产生电路的设计 (7) 3.2三角波—正弦波变换电路的设计 (11) 4.1EWB软件的简介 (15) 4.1.1 EWB软件的概述........................................ - 15 - 4.1.2 EWB软件的基本操作方法................................ - 15 - 4.2函数信号发生器的仿真过程及结果 (16) 4.2.1使用EWB对电路进行设计和实验仿真的基本步骤............. -16 - 4.2.2方波—三角波信号发生器电路的装调及仿真结果............ - 16 - 4.2.3三角波—正弦波变换电路的装调和仿真.................... - 17 - 结论........................................................... - 20 - 参考文献

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

浪涌抗扰度(Surge)测试

浪涌(冲击)抗扰度(Surge) 1. 浪涌(冲击)抗扰度试验 l.i概述 浪涌抗扰度试验所依据的国际标准出IEC61000-4-5:2005,对应国家标准是GB/T17626.2:200X《电戲兼容试验和测虽技术浪涌(冲击)抗扰度试验》<. 浪涌(冲击)抗扰度试验就足模拟 带来的十扰影响,但需要指出的足,考核设备电磁兼容性能的浪涌抗扰度试验不同于考核设备岛斥绝缘能力的耐压试验.前者仅仅足模拟间接宙击的彫响(直接的雷击设备通帘都无法承受)。 1.2浪涌(冲击)抗扰度试验目的 本标准的目的是建立一个共同的基准,以评价电气和电子设备在遭受浪涌(冲击)时的性能。本标准规定了一个一致的试验方法,以评定设备或系统对规定现象的抗扰度。 1.3浪涌(冲击)抗扰度试验应用场合 本标准适用于电子电气设备,但并不针对特定的设备或系统.貝冇减础EMC电磁兼容出版物的地位. 2. 术语和定义 2.1浪涌(冲击) 沿线路传送的电流电压或功率的瞬态波,其特性足先快速上升后缓慢下降。 2.2组合波信号发生器 能产生1.2/50ps开路电压波形、8/20ps短路电流波形或10/700ps开路电压波形、5/320ps短路电流波形的信号发生器。 2.3耦介网络 将能戢从一个电路传送到另一个电路的电路. 2.4去耦网络 用『防止施加到上的浪涌冲击影响其他不作试验的装遊设备或系统的电路。 2.5 (浪涌发生器的)等效输出阻抗 开路电压蜂值与短路电流峰值的比值. 2.6对称线 垫模到共模转换损耗大于20dB的平衡对线。 3. 试检筹级及选择 优先选择的试验等级范甬如表所示. 表试验等级 1.试验等级应根据安装情况,安装类别如卜?:

信号发生器概述

信号发生器概述 凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。 信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。 信号源的分类和作用 信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的AFG3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。 另外,信号源还可以按照输出信号的类型分类,如射频信号发生器、扫描信号发生器、频率合成器、噪声信号发生器、脉冲信号发生器等等。信号源也可以按照使用频段分类,不同频段的信号源对应不同应用领域。 下面我们将对函数信号发生器和任意波形/函数发生器做简要介绍: 1、函数信号发生器 函数发生器是使用最广的通用信号源,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波

DSP多波形信号发生器

数字信号处理(DSP) 综合设计性实验报告 学院:电子信息工程学院 班级:自动化 指导教师: 学生: 北京交通大学电工电子教学基地 2014年9月20日

目录 一实验目的 (3) 二实验技术指标与设计要求 (3) 三实验原理 (3) 四实验操作 (4) 五程序设计 (10) 六硬件输出演示 (16) 七实验感想与体会 (22) 八参考文献 (23)

一 实验目的 1 掌握多波形信号发生器的DSP 设计可使学生更加透彻的理解和应用奈奎斯特采样定理,提 高学生系统地思考问题和解决问题的能力。 2 通过对DSP 信号处理器及D/A 转换器的编程,可以培养学生C 语言编程能力以及使用DSP 硬件平台实现数字信号处理算法的能力。 3 学习并掌握使用DSP 产生正弦波、方波、三角波、锯齿波灯信号的原理和算法,并利用GEL 文件实现频率和幅度的自动可调。 4 掌握利用CCS 建立工程、编译与调试代码的基本过程,可以在软件中观察图形及变量,并利用硬件进行输出显示。 5 掌握产生多种波形的理论方法,并比较产生信号的两种主要方法(查表发和计算法)的优缺点。 二 实验技术指标与设计要求 1 基本部分 1) 使用DSP 产生300—16000Hz 的正弦、方波、锯齿波和三角波信号,输出信号的幅度从 0~1VRMS (有效值)。要求使用计算法,并且频率可变、幅度可变。 2) 调节信号的频率和幅度时不能中断程序的运行。(提示:可以使用CCS 下的GEL 语言实现此功能) 2 发挥部分 在实验板的信号输出端分别接入16欧姆和32欧姆负载电阻,信号仍然保持空载时所设定的 输出幅度。 三 实验原理 1 产生连续的波形的方法主要有以下两种方法: 1)查表法:把事先将需要输出的数据计算好,存储在DSP 中,然后依次输出就可以了。查表法的优点是速度快,可以产生频率较高的波形,而且不占用DSP 的计算时间;查表法的缺点是在于需要占用DSP 的内部的存储空间,尤其对采样频率比较大的输出波形,这样,需要占用的内部的空间将更大,而DSP 内部的存储空间毕竟有所限制。这使得查表法的应用场合十分有限。 2)计算法:计算法可以使用泰勒级数展开法进行计算,也可以使用差分方程进行迭代计算或者直接使用三角函数进行计算。计算结果可以边计算边输出,也可以先计算后输出。计算法的使用比查表法灵活。计算法的优缺点正好和查表法相反。即:其优点是不占用DSP 的存储空间,其缺点是占用DSP 的计算时间,使得执行程序的开销变大。 本实验将用第二种方法即计算法产生一个正弦波信号,从DA 输出。正弦函数和余弦函数的泰勒级数数学表达式为: =x sin +-+-+-+---)1(121 9753x x x x x x n n ,x ?),(∞-∞∈

单片机实现简易波形发生器

电子信息工程专业 单片机课程设计报告 题目简易波形发生器姓名 学号 班级 指导教师 2013年7 月4 日

要求: 1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。2.课程设计任务书由指导教师照大纲要求填写,内容要全面。 3.课程设计报告由参加本学生填写。课程设计结束时交指导教师。4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。 5.课程设计任务书和报告要语言流畅,图表正确规范。 6.本表要用钢笔、圆柱笔填写或打印,字迹工整。

课程设计报告 1 设计原理与技术方法: 1.1 电路工作原理分析 本次单片机实习采用的是单片机STC89C52,对于简易波形发生器设计的硬件电路主要为三个部分,为显示部分、键盘部分、D/A转换电路,以下对三个部分分别介绍。 1.1.1 显示电路原理 如图1.1所示八位八段数码管为共阴极数码管,通过两个74HC573锁存器与单片机连接,一片573的LE为位选信号另一片的LE为段选信号,分别由单片机的P2.7和P2.6控制,高电平有效。当P2.7=1、P2.6=0时,位选有效,P0.0-P0.7分别控制01-08八位数码管选通,低有效,即通过P0口送出数据,哪一位为0则哪一位数码管有显示;当P2.6=1、P2.7=0时,段选有效,此时P0.0-P0.7分别控制每一位八段数码管的每一段a b c d e f g dp 的亮灭,高有效,从而使数码管显示数字0-9。显示段码如表1.1所示。 图 1.1 显示电路 表1.1 共阴极数码管显示段码 1.1.2 键盘电路原理 如图1.2所示为4×4的矩阵式键盘与单片机的P3口相连,行连接P3.0-P3.3,列连接P3.4-P3.5。用扫描法对按键进行扫描,先将所有行置0,所有列置1,当有按键按下时,通过对P3口的状态查询则按下的按键所在列将为0,其余仍未1,通过延时去抖动判断是否真有按键按下,若有,则逐行扫描,判断按键所在行,最后返回按键键码,并去执行相应

函数波形信号发生器

函数波形发生器设计 摘要 函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过差分放大器形成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 经过仿真得出了方波、三角波、正弦波、方波——三角波转换及三角波——正弦波转换的波形图。 关键字:函数信号发生器、集成运算放大器、晶体管差分放 设计目的、意义 1 设计目的 (1)掌握方波—三角波——正弦波函数发生器的原理及设计方法。 (2)掌握迟滞型比较器的特性参数的计算。 (3)了解单片集成函数发生器8038的工作原理及应用。 (4)能够使用电路仿真软件进行电路调试。 2 设计意义 函数发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。 在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都学要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而广泛用于通信、雷达、导航、宇航等领域。 设计内容 1 课程设计的内容与要求(包括原始数据、技术参数、条件、设计要求等): 1.1课程设计的内容 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; (4)对单片集成函数发生器8038应用接线进行设计。 1.2课程设计的要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真,PCB设计。 2 函数波形发生器原理 2.1函数波形发生器原理框图 图2.1 函数发生器组成框图

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

多波形函数信号发生器

多波形函数信号发生器

————————————————————————————————作者:————————————————————————————————日期:

电子课程设计 设计题目:多波型信号发生器 系部:信息工程学院 专业:电子信息工程 班级:1301班 学号:8 姓名:高旭 指导老师:陈亮

目录 一设计要求 (3) 二总体概要设计 (3) 三各单元模块设计与分析······························································································4 3.1 正弦波发生 器 (4) 3.1.1 RC桥式振荡 器····························································································4 3.2方波转化电 路 (6) 3.2.1555定时 片································································································6 3.2.2由555芯片构成的施密特触发 器 (7) 3.2.3方波幅度调节电 路 (8) 3.3三角波转化电路 (8) 3.3.1RC无源积分器 (8) 3.3.2自举电路反相放大器················································································9 四总电路图 (10)

多波形信号发生器设计 电子技术课程设计

湖南文理学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:通信工程08101班 学生姓名:林洪湖(200816020143) 指导教师:邱德润 完成时间:2010 年6月25日 报告成绩:

目录 1.绪论 (3) 信号发生器现状 (3) 2.系统设计 (3) 控制芯片的选择 (4) 3.硬件电路的设计 (4) 3.1基本原理: (4) 3.2各部分电路原理 (8) 4.软件设计 (14) 4.1主程序流程图 (14) 4.2子程序流程图 (15) 5.测试结论 (18) 5.1软件仿真结果 (19) 5.2硬件测试结果 (21) 参考文献 (21)

多波形信号发生器设计 1.绪论 1.1信号发生器现状 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 本次用要用到的有函数发生器5G8038、集成振荡器E1648、集成定时器555/556. 2.系统设计 2.1系统方案 方案:采用函数信号发生器5G8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。

简易波形发生器

摘要 波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。RC 桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。方波通过积分运算电路,整形为三角波。 关键词正弦波发生器/过零比较器/电压跟随器/正弦波/方波/三角波

目录 1方案设计 (1) 2 简易波形发生器原理级框图 (4) 2.1 基本原理 (4) 2.2 原理框图 (4) 3 正弦波发生电路 (5) 3.1 正弦波振荡器原理和结构 (5) 3.2 产生振荡的条件 (5) 3.2.1振荡平衡条件 (5) 3.2.2 振荡起振条件 (6) 3.3 RC选频网络 (7) 3.3.1 RC桥式振荡器电路 (7) 3.3.2 RC桥式振荡器的选频特性 (8) 3.3.3 电压跟随器 (9) 4 方波发生电路 (11) 4.1 迟滞比较器 (11) 4.2 方波产生原理 (12) 5 三角波的产生电路 (13) 5.1方波到三角波的转换原理 (13) 6 简易波形发生器的设计 (15) 6.1简易波形发生器的总原理 (15) 6.1.1 输出波形 (15) 6.1.2 频率范围 (16) 6.1.3 输出电压 (16) 6.1.4 显示输出波形的类型 (16) 7 设计总结与心得体会 (17) 致谢 (18) 主要参考文献 (19) 附录一:总原理电路图 (20) 附录二:元件清单 (21)

微波测量复习题

微波测量复习题 1.表征微波信号的三个重要基本参数,简要阐述微波测量与低频电子电路测量的区别和联 系。 (1)功率、频率、阻抗。 (2) ①低频电子电路的几何尺寸通常远小于工作波长,属于集中参数电路。便于测量的电压电流和频率是基本测试量。 微波元器件的几何尺寸通常和工作波长相比拟,属于分布参数电路。功率,频率和阻抗是基本测试量。 ②非TEM波传输线系统中电压、电流的定义失去了唯一性,如单导体传输线波导-模式 电压,模式电流。而在TEM波传输线系统工作于主模且在行波条件下,行波电压V、电流I和传输功率P仍满足与低频电路相同关系式。 ③它们在测量任务测量方法和测量仪器方面都有所不同。 2.测量的基本要素与之间互动关系 被测对象、测量仪器、测量技术、测量人员和测量环境 测量过程—基本要素之间的互动关系: 1制定出测试策略(测量算法)和操作步骤(测试程序) 2选择测试仪器,组建测试系统。 3分析测量误差并显示测量出结果。 3.什么是测量环境,举例说明 测量环境是指测量过程中人员、对象和仪器系统所处空间的一切物理和化学条件的总和。比如温度、湿度、力场、电磁场、辐射、化学气雾和粉尘,霉菌以及有关电磁量(工作电压、源阻抗、负载阻抗、地磁场、雷电等)的数值、范围及其变化。 4.测量误差来源有哪些? (1)测量对象变化误差(对应测量基本要素)(2)仪器误差(3)理论误差和方法误差(4)人身误差(5)环境影响误差 5.计量与测量的关系 ?计量的任务是确定测量结果的可靠性。 ?计量是测量的基础和依据。 ?没有计量,也谈不上测量。 ?测量发展的客观需要才出现了计量。 ?测量是计量应用的重要途径。 ?没有测量,计量将失去价值 6.微波信号源的主要性能指标与含义 微波信号源就是产生微波信号的装置,又称为微波信号发生器。 主要性能指标:频率特性,输出特性,调制特性。 (1)频率特性--频率范围,频率的准确度和稳定度,频率分辨率,频率切换时间,频谱纯度。 (2)输出特性--输出电平,电磁兼容性,输出电平的稳定度、平坦度、准确度 (3)调制特性--让微波信号的某个参数值随外加控制信号而改变 *微波三极管的主要特征是利用静电控制原理控制交变电子流的大小,来实现信号产生和放大的功能。这种控制是借助改变控制栅极电压,影响阴极附近的电场来实现的。

信号发生器分析报告

信号发生器报告

————————————————————————————————作者:————————————————————————————————日期:

基于虚拟仪器的信号发生器的设计 【摘要】虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。 本次设计主要是阐述虚拟信号发生器的前面板和程序框图的设计。设计完的信号发生器的功能包括能够产生正弦波、矩形波、三角波、锯齿波四种信号波形;波形的频率、幅值、相位、偏移量及占空比等参数由前面板控件实时可调。 【关键词】虚拟仪器,信号发生器,LABVIEW 引言 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。 1.信号发生器的发展 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

EMS测试项目介绍

EMS测试项目介绍(转贴) 我国电磁兼容认证工作已经起动,第一批实施电磁兼容的产品类别及所含内容也已基本确定,它们是声音和电视广播接收机及有关设备,信息技术设备,家用和类似用途电动、电热器具,电动工具及类似电器、电源、照明电器、车辆机动船和火花点火发动机的驱动装置、金融及贸易结算电子设备、安防电子产品、声音和电视信号的电缆分配系统设备与部件,低压电器。尽管产品不同,引用的产品族测试标准也不同,但其中抗扰度的试验内容基本相同,它们是静电放电、射频辐射电磁场、脉冲群、浪涌、射频场引起的传导干扰和电压跌落等6项。为了帮助读者对这些标准的理解,作者试图从试目的、仪器特性要求、基本配置情况、标准试验方法和对标准的评述等方面入手,用比较简洁的文字介绍这些试验,以加深对标准的理解。 1 IEC61000-4-2(GB/T17626.2)静电放电抗干扰试验 1.1 静电放电的起因 静电放电的起因有多种,但IEC61000-4-2(GB/T17626.2)主要描述在低湿度情况下,通过摩擦等 因素,使人体积累了静电。当带有静电的人与设备接触时,就可能产生静电放电。 1.2 试验目的 试验单个设备或系统的抗静电干扰的能力。它模拟: (1)操作人员或物体在接触设备时的放电。 (2)人或物体对邻近物体的放电。 静电放电可能产生的如下后果:

(1)直接通过能量交换引起半导体器件的损坏。 (2)放电所引起的电场与磁场变化,造成设备的误动作。 1.3 静电放电的模拟 图1和图2分别给出了静电放电发生器的基本线路和放电电流的波形。 图1中高压真空继电器是目前唯一的能够产生重复与高速的放电波形的器件(放电开关)。图2是标准放电电流波形,图中Im表示电流峰值,上升时间tr=(0.7~1)ns。放电线路中的储能电容CS代表人体电容,现公认150pF比较合适。放电电阻Rd为330Ω ,用以代表手握钥匙或其他金属工具的人体电阻。现已证明,用这种放电状态来体现人体放电的模型是足够严酷的。 1.4 放电方式 直接放电(直接对设备的放电):接触放电为首选形式;只有在不能用接触放电的地方(如表面涂有绝缘层,计算机键盘缝隙等情况)才改用气隙放电。 1.5 试验方法 有型式试验(在实验室进行)及安装现场试验两种,标准规定以前者为主。试验中一般以1次/秒的速率进行放电,以便让设备对试验未来得及响应。另外正式试验前要用20次/秒的放电速率,对被试设备表面很快扫视一遍,目的是找出设备对静电放电敏感的部位。 试验电压要由低到高逐渐增加到规定值。 1.6 试验的严酷度等级 该试验的严酷度等级见表1。 表1 严酷度等级

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

基于lm324多用信号发生器

电子线路课程设计注意事项 1、本课程设计采用抽签形式选择相应题目,一般为4人一组,简 单设计以2人为一组;每组推出一个组长。 2、每组必须完成电路原理图、PCB版图、元器件焊接以及装置通 电试验,以及答辩的PPT。 3、每组组内成员为同一成绩。组内分工要明确,合作要和谐。具 体成绩包括焊接质量(5%)、电路完成情况(60%)、课程设计报告撰写(20%)、答辩(15%)。指导教师有权力根据综合情况调整分数。 4、指导教师为程志友、鲍文霞,按照大家选课时名单填写。 5、具体课程设计报告见附录。 6、未尽事项等候通知,其它事宜可和我联系。

附录: 《电子线路》课程设计报告 基于lm324的多用信号发生题目 器 学院 专业 组长姓名和学 号 学生姓名和学 号 指导教师 2016 年7 月7 日

目录

一选题目的及意义 设计电路的介绍和应用 本次课程设计以四运算放大器LM324为核心器件,通过迟滞比较器和积分器产生方波和三角波。再通过滤波电路和放大电路产生正弦波。它是信号发生器的基本原理电路,通过波形变换电路,可把它做成多用信号发生器。可应用于电子技术工程、通信工程、自动控制、仪器仪表及计算机技术等领域内。几乎所有的电参量在电子测量技术应用中都需要借助信号发生器进行测量。 按其信号波形分为四大类:①正弦信号发生器。主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按其不同性能和用途还可细分为低频(20赫至10兆赫)信号发生器、高频(100千赫至300兆赫)信号发生器、微波信号发生器、扫频和程控信号发生器、频率合成式信号发生器等。②函数(波形)信号发生器。能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。③脉冲信号发生器。能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。④随机信号发生器。通常又分为噪声信号发生器和伪随机信号发生器两类。噪声信号发生器主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测

相关文档
最新文档