拔河游戏机报告

拔河游戏机报告
拔河游戏机报告

短学期课程设计报告

设计名称:电子技术课程设计

设计题目:拔河游戏机电路

院(系):信息科学与技术学院

年级: 2014级

组号:第11组

指导教师:陈星

西南交通大学

2016年7月

小组成员及内容分工

学号2014112245 姓名陈伟年级2014 专业班级物联一班

完成的主要内容1.完成代码程序编写

2.组织每日项目进度安排与总结

3.对每日的工作进行汇总

4.设计所显示的图案

学号2014112245 姓名陈伟年级2014 专业班级物联一班

完成的主要内容1.PCB板的设计

2.原理图的设计

3.检查电路原理图的准确性

4.对电路进行调试和更正

学号2014112245 姓名陈伟年级2014 专业班级物联一班

完成的主要内容1.对电路的调试和更正

2.完成PCB板的打孔

3.完成元器件的焊接

4.撰写实习报告

目录

一、绪论 (3)

1.1设计目的 (3)

1.2 设计要求 (3)

二、方案设计 (3)

2.1概论设计 (3)

2.2各子系统模块 (4)

2.3使用器件说明 (5)

三、详细设计 (5)

3.1主程序 (5)

3.2各功能与算法 (6)

3.3引脚锁定 (10)

3.4原理图及PCB绘制 (10)

四、测试及使用说明 (11)

4.1测试步骤 (11)

4.2实验结果 (11)

五、结论 (13)

六、实习总结 (13)

附录 (14)

1、电路原理图 (14)

2、PCB板图 (15)

3.verilog代码 (15)

一、绪论

1.1设计目的

通过设计一个简易拔河比赛游戏机。

a.熟练掌握EDA软件QUARTUS II的使用方法;

b能利用EDA软件QUARTUS II进行一个电子技术综合问题的设计;

c.掌握FPGA系统各种外围接口的灵活运用,培养实验的仿真及下载技能;d.掌握按键分配、CLOCK调用、LED数码管等外围接口的Verilog HDL语言编程;

e.通过软件编程和仿真理解并体会VHDL语言的常用编写语言和语法规;f.培养分析、寻找和排除电子电路中常见故障的能力

1.2 设计要求

1. 设计一个模拟拔河游戏机比赛的逻辑电路。

2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作

为拔河的中心线。

3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向

谁方向移动。每按一次,亮点移动一次。

4. 移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用,

输出保持,只有经裁判按动复位后,恢复到中心线。

5. 两个数码管显示比分,在比赛结束时会有音乐播放,根据不同的获胜方,会有不同的音乐播放。

注:本拔河游戏设计为三局两胜制,但必须比赛三局。

二、方案设计

2.1概论设计

1.由于拔河游戏机是通过按键输入快慢来判断胜负的,在按按键的同时由于按键自身机械原因会产生许多不必要的抖动,这种抖动会引起一系列不必要的误差,所以我们在玩家1、2的输入端都加上了消除按键抖动的模块,让游戏更具

有公平性。

2.设计一个分频器,用来对比赛信号的输出频率进行控制。可以这样理解:我要怎样认为参赛一方获得了暂时的领先优势呢,答案是我可以设计参赛方按键若干次后才认为亮点向它那方移动一次。这样可以更真实的反应比赛过程。这个设想我通过分频器来实现。当然,老师给出的要求是领先按一次就认为亮点移动一次,我就可以直接把我的分频器改为不变分频,这样只需在分频程序里改动一点数据即可,也容易做到。为了硬件验证的简单同时兼顾程序的可扩展性,我这里的分频器以三分频来设计。即先设计一个三分频器。 3.设计一个四位16进制计数器。

4.设计胜利次数统计和显示的模块。这一模块由计数器和译码器两个部分组成,其实就是之前我们做的七段数码管显示。该部分里的计数器的使能端始终保持‘1’,而把复位键作为胜利次数显示的复位键。 5.子模块设计完后进行整体组合

音乐模块控制模块

LED 灯模块

数码管模

按键消抖

模块

分频模块50MHz

频率4

频率1

频率2

频率3

频率5

2.2各子系统模块

分频模块:分频模块将系统50MHz 频率进行分频,得到10kHz 和25Hz 的频率,10kHz 频率用于数码管的动态扫描,25Hz 的频率用于对按键和复位的时序控制。

控制模块:控制模块共有四个输入,其中K1,K2代表两个拔河的用户,K3,K4分别用于游戏复位控制和分数清零控制。

LED灯模块:此模块由15个LED灯组成,代表拔河的绳子,开始时最中间的红色LED灯亮,当哪一方按键更快时就往哪个方向运动。

数码管模块:数码管用于显示比赛双方的比分,复位后为0:0。

音乐模块:音乐模块储存了两首歌,《粉刷匠》和《康定情歌》,在某一方获胜时会播放对应的歌曲。

消抖模块:用于对按键的消抖。

2.3使用器件说明

15个LED灯,一个两位数码管,一个三极管,一个蜂鸣器,四个开关,电阻若干,FPGA板一块。

三、详细设计

3.1主程序

顶层通过对各个子模块的调用,构成一个完整功能的电路。

module baheyouxiji(k1, k2, rst, nrst,_50MHz,led,segout,wx,beep);

input _50MHz,k1,k2,rst,nrst;

output[14:0] led;

output [1:0] wx;

output[6:0] segout;

output beep;

wire _25HzOut,_10000HzOut,key1,key2;

wire[1:0] left,right;

wire[3:0] q;

fpq(_10000HzOut,_25HzOut,_50MHz);//调用分频模块

xiaodou(k1, k2, _25HzOut,key1,key2);//调用消抖模块

bahe(k1, k2, rst, nrst, _25HzOut, q, left, right);//调用控制模块

led(q,_25HzOut, led);//调用LED灯显示模块

shumaguan(left, right, _10000HzOut, segout, wx);//调用数码管显示模块

yinyue (_50MHz,left,right,beep);// 调用音乐播放模块

endmodule

3.2各功能与算法

a.控制模块

代码见附录

输入拔河双方的按键k1,k2、游戏复位rst和分数复位nrst以及25Hz的时钟信号,

输出Q,左边分数left和右边分数right

b.LED灯显示模块

代码见附录

用25Hz的频率作为LED灯的时序控制。中间变量Q代表了灯左移或者右移了多少位置,运用译码算法对Q进行译码,得到LED灯的显示。输出15LED接到相应的LED控制位上。

c.数码管模块

代码见附录

输入左边分数left和右边分数right,10kHz,输出七段数码管segout和位选信号wx。

d.音乐模块

根据各个音调对应的频率,将简谱转化为为相应的频率就可以输出音乐了。State 代表的是有多少个音符(以四分符为单位一)。输入系统时钟信号,左边比分left 和右边比分right。当左边分数加上右边分数为3时,音乐开始播放。

e.分频模块

分频器设计的原理和思想其实就是一个计数器,只是把进位端作为分频输出端,而把其他不需要的端口设计省略。计数周期由程序内预置的计数上限来自由控制。

f.消抖模块

消抖模块的设计主要是为了处理由于按键自身的条件在按下或者是松开时会产生的抖动而设计的,这样可以让游戏更公平合理。经查阅资料得,每当人按下或者松开按键时,程序中每次下降沿都会产生一次检测,如果连续两次都检测到按键已被按下,则确定该按键按下有效,产生一个输出波形,供给后面模块使用。

3.3引脚锁定

3.4原理图及PCB绘制

a.原理图绘制

在绘制原理中发现芯片有多个GND引脚,在询问老师之后,明白了最好是将它们接在一起。其中芯片的VP引脚,一开始也搞不懂是什么。在老师的讲解下,明白了是板子电源的输入端,并且推荐使用9V。

b.PCB的绘制

遵照着教程进行元件的导入、元件位置的确定以及交互式排线。

因为开始的时候,原理图并没有完全确定,所以元件是分批次导入的。第一次导入了90%以上的元器件,最后修改的芯片以及电源通过再次更新进入PCB版图。虑的因素有很多。比如整块电路板的供电与散热,比如铜线的长短与粗细,再比如布线的美观等等。首要的任务就是布线一定要正确,该联通的要联通,其次,要尽量美观,走线尽量是水平和竖直的,也是为了能更好地布线,防止斜着布线时走线过于混乱,最终出现布线不通的情况。

由于是第一次进行布线,再加上时间比较紧急,所以布线的时候,没有特别注意美观这一层面的要求,主要是考虑了布线的正确性以及联通性。

为了符合实验室制作板子的要求,将信号线宽设置为0.8mm,而焊盘内径设置为0.8mm或者1.0mm。

四、测试及使用说明

4.1测试步骤

a.先在quartus上进行仿真测试,测试各个模块对应功能的是否能够实现。

b.在quartus上编译通过后锁定引脚,下载到开发板上,测试整个系统的完整性。

4.2实验结果

在quartus上进行仿真测试后,下载到开发板上进行验证。并得到了预期的结果。测试结果如下图:

五、结论

各个模块工作正常,将模块整合后,整个系统也能够正常的工作,测试结果符合设计的要求。

六、实习总结

经过本次实验的训练,让我对一个电子产品从功能要求,逻辑设计,电路原理图设计,PCB设计,电路焊接,电路调试的全过程有了一个清晰的把握与认识。这次实习让我清楚了一个完整的器件,从功能的提出、功能的设计、功能的调试,最后转化为实际的东西。而不仅仅是书上的一些图片。同时也让我对数字电路有了更加深刻的理解,以前不太明白的时序,以及对软件的不熟悉在这次实习中都得到了极大的提升。也发现自己在动手能力方面的不足,比如在焊接的时候,总是焊得不理想,不容易达到标准的要求。这方面还需要提升。

附录

1、电路原理图

2、PCB板图

1.

3.verilog代码

拔河模块

module bahe(k1, k2, rst, nrst, _25H, q, left, right);

input k1; //

input k2; //

input rst; //???o¨??a¨o???????????¨1

input nrst; //???¤???¨¢??????¨1

input _25H; //

output [3:0] q; //???o¨?led??¨o?¨o?3?

output [1:0] left; //?á¨??à??¤?¨oy¨oy??1¨1¨o?3?

output [1:0] right; //¨?¨°?à??¤?¨oy¨oy??1¨1¨o?3?

reg [3:0]q;

reg n;

reg [1:0]left,right; //reg????????

always @(negedge rst or posedge _25H or negedge nrst)

begin

if(~rst) begin q=7;n=1;end //q,led???D|ì? n¨o1?¨1?a else if(~nrst) begin left=0;right=0;end //???¤???¨¢?

else if(n) //?D??¨o1?¨1¨o??¤??a?ê?

begin

if((left+right)==3)

n=0;

else

begin

case({k1,k2}) // ?????¨1?á¨|

2'b01: q=q+1; /// led?¨°¨?¨°¨°?¨°???

2'b10: q=q-1; //// led?¨°?á¨?¨°?¨°???

default: q=q; /// ?à?ê3?

endcase //

case(q)

4'b0000:begin n=0;left=left+1;end //?á¨?+1?¤??ê?n¨o1?¨11?

4'b1110:begin n=0;right=right+1;end //¨?¨°+1?¤??ê?n¨o1?¨11?

default:n<=n; //?à?ê3?

endcase

end

end

end

endmodule

拔河灯显示

module led(q, _25HzOut, led);

input [3:0] q;

input _25HzOut;

output [14:0] led;

reg [14:0] led;

always@(posedge _25HzOut)

case(q)

4'd0:led=15'b000000000000001;

4'd1:led=15'b000000000000010;

4'd2:led=15'b000000000000100;

4'd3:led=15'b000000000001000;

4'd4:led=15'b000000000010000;

4'd5:led=15'b000000000100000;

4'd6:led=15'b000000001000000;

4'd7:led=15'b000000010000000; // 中点

4'd8:led=15'b000000100000000;

4'd9:led=15'b000001000000000;

4'd10:led=15'b000010000000000;

4'd11:led=15'b000100000000000;

4'd12:led=15'b001000000000000;

4'd13:led=15'b010000000000000;

4'd14:led=15'b100000000000000;

default:led<=led;

endcase

endmodule

比赛分数显示模块

module shumaguan(left, right, _10000H, segout, wx);

input [1:0] left;

input [1:0] right;

input _10000H;

output [6:0] segout; //êy??1üê?3?

output [1:0] wx;

reg [1:0] wx;

reg[7:0] count;

reg [6:0] segout;

reg [1:0] seg_in;

always@(posedge _10000H)

if(count==3)

count<=0;

else

begin

case(count)

2'b00:begin seg_in<=left;wx<=2'b01;count=count+1;end

2'b10:begin seg_in<=right;wx<=2'b10;count=count+1;end

default:count=count+1;

endcase

end

always@(seg_in)

case(seg_in) //g f e d c b a//

2'd0:segout<=7'b0111111; //0

2'd1:segout<=7'b0000110; //1

2'd2:segout<=7'b1011011; //2

2'd3:segout<=7'b1001111; //3

default:segout<=segout;

endcase

endmodule

消抖模块

module xiaodou(key1, key2, _25H,k1, k2);

input key1; //// 按键1

input key2; //// 按键2

input _25H; //脉冲25Hz

output k1;

output k2;

assign k1=(a1|a2|a3); ////

assign k2=(b1|b2|b3); //// 采用3个或门消抖

reg a1,a2,a3,b1,b2,b3;

always @(posedge _25H)

begin

a1<=key1;a2<=a1;a3<=a2;

b1<=key2;b2<=b1;b3<=b2;

end

endmodule

音乐播放模块

module yinyue (sys_clk,left,right,beep);

input sys_clk; //?μí3ê±?ó48MHz input [1:0]left;

input [1:0]right;

output beep; //·??ù?÷ê?3???

reg beep_r; //??′??÷

reg [7:0] state1;

reg [7:0] state2; //à??××′ì??ú

reg [15:0] count;

reg [15:0] count_end;

reg [23:0] count1;

//à??×2?êy:D=F/2K

parameter

L_5 = 16'd63775, //μíò?5

L_6 = 16'd56818, //μíò?6

M_1 = 16'd47801, //?Dò?1

M_2 = 16'd42589, //?Dò?2

M_3 = 16'd37936, //?Dò?3

M_5 = 16'd31887, //?Dò?5

M_6 = 16'd28409,

M_7 = 16'd25303, //?Dò?6

H_1 = 16'd23900,

H_2 = 16'd21276,

H_3 = 16'd18968,

H_4 = 16'd17895,

H_5 = 16'd15943,

电子拔河游戏机 EDA 实验

安徽财经大学 电子拔河比赛游戏机的设计 姓名:陈辉、胡安宁、郭真真、朱晓庆 学号:2010830044、2010830027、2010830018、2010830043 学院:管理科学与工程学院 专业:电子信息工程 指导老师:于帅珍 设计时间:2013年5月

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图-------------------------------------- --------------4 三、选择器件---------------------------------------- ------------5 四、功能模块-------------------------------- --------------------10 五、总体设计-------------------------------------- --------------16

一、?设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向谁 方向移动。每按一次,亮点移动一次。 4.移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用,输出保持,只有经裁判按动复位后,恢复到中心线。 5.显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图:

数字电子技术基础课程设计——拔河机计数器

数字电子技术基础课程设计——拔河机计数器

第1章引言 现今科学技术日新月异,信息是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的领域之一,数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视、雷达,通信等各个领域。开发数字电路来实现更多的功能,是我们学习数字技术的职责。现在人们越来越感觉到科技带来的实惠方便和娱乐。所以我们更应把所学知识应用到生活当中去,使它给我们添加更多的欢乐和方便。拔河比赛游戏机就是一个结构比较简单但综合性和趣味性的试验,就是设计一个由数字电路构成和显示的游戏机,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端指示灯点亮,这一方就获胜。

第2章总体设计方案 2.1设计思路 (1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2) 拔河游戏机由15个电平指示灯排列成一行,裁判下达“比赛开始”的命令后,只有中间一个电平指示灯亮,以此作为拔河的中心线,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 方案一 采用编码电路、整形电路、译码电路、控制电路、胜负显示各原理和电路图第三章中。 方案二 本课题,可以用两片74LS192代替74LS193,先将两片74LS192连接成100进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片74LS192的输出信号八位转化成四位,再接给CC4514的输入端。 控制电路也可由异或门74LS86和与非门74LS00构成。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出

拔河游戏机的设计与制作报告

《数字电子技术》课程设计报告拔河游戏机设计与制作 设计要求: 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮, 以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产 生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到 任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保 持,只有经复位后才使亮点恢复到中心线。 设计人:夏凯强学 号: 7 专业:计算机班 级: 09<1> 班 成绩:评阅人: 安徽科技学院理学院 拔河游戏机的设计与制作 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 一、设计要求 (一)设计指标 (1)初始9个发光二极管排列成一行,开机后只有中间一个点亮; (2)游戏双方各持有一个按键,按键按动一次,亮点有可能移动一次; (3)亮点移动的方向与按键快的一方一致; (4)当任一方终端二极管点亮时,这一方就得胜,再按键电路输出不变;

(5)按复位后,回到初始状态 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 1.拔河游戏机的构成 本次拔河游戏机的主要设计思路是让电平指示灯由中点向速度快的一方延伸,而阻止向速度慢的一方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向右方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点时就把电路锁定,此时双方按键均无作用,只有按了复位按键双方才能继续下一局的比赛,计数器就记录双方的获胜的次数,数码管显示胜者赢的盘数。 图1 拔河游戏机总体结构图 2.整形电路的设计 CC40193是可逆计数器,控制加减的CP脉冲分别加至和CP D和CP U,此时当电路要 求进行加法计数时,减法输入端CP D 必须接高电平;进行减法计数时,加法输入端CP U 也必须接高电平,若直接由A、B键产生的脉冲加到CP U 和CP D ,那么就有很多时机在 进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使从A、B按键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路由与门CC4081和与非门CC4011构成。 3.编码电路 编码器有二个输入端,四个输出端,要进行加 / 减计数,因此选用CC40193双时钟十进制同步加 / 减计数器来完成。其电路及连接方式如下: 4.整形电路 由与门CC4081和与非门CC4011构成整形电路。起初,由两片CC4011构成一RS 触发器,它有两个输入端R、S和两个输出端Q、Q。当R=1、S=0时,则Q=0,Q=1,触发器置1。当R=0、S=1时,则Q=1,Q=0,触发器置0,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。同理,称R端为置0端或复位端。经RS触发器 后再经与门和非门,以达到整形的目的。 因CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使原先加的脉冲

拔河游戏机设计

目 录 1 引言 (1) 1.1FPGA简介 (1) 1.2VHDL简介 (1) 2 拔河游戏机的设计 (3) 2.1课程设计目的 (3) 2.2系统设计要求 (3) 2.3设计思路 (3) 2.4拔河游戏机工作流程图 (4) 3 拔河游戏机的实现 (5) 3.1拔河游戏机的顶层原理图 (5) 3.2拔河游戏机的总体设计过程 (5) 3.3系统各功能模块的实现 (6) 3.3.1 模块jishu的设计 (6) 3.3.2 模块bijiao的设计 (6) 3.3.3 模块xiaodou的设计 (7) 3.3.4 模块clkfen的设计 (8) 3.3.5 模块shuma的设计 (8) 4 拔河游戏机仿真及分析 (9) 4.1顶层实体的仿真波形 (9) 4.2比较模块的仿真波形 (9) 4.3消抖模块的仿真波形 (10) 4.4管脚锁定 (10) 4.5编译下载 (11) 4.6试验箱验证 (11) 5 总结 (12) 参考文献 (14) 附录 (15) JISHU.VHD (15) BIJIAO.VHD (15) XIAODOU1.VHD (16) CLKFEN.VHD (17) SHUMA.VHD (18)

1 引言 1.1 FPGA简介 以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。 系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。 FPGA一般来说比ASIC(专用集成电路)的速度要慢,无法完成复杂的设计,但是功耗较低。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)。 1.2 VHDL简介 硬件描述语言已经有几十年的发展历史,并且在系统的仿真、验证和设计、综合等方面得到成功的应用。目前常用的硬件描述语言有VHDL、 Verilog HDL 、ABEL等[2][3][4]。VHDL 则起源于20世纪70年代末和80年代初,美国国防部提出的VHSIC计划,目标是为下一代集成电路的生产、实践阶段性的工艺极限和完成10万门级以上的电路设计而建立一种新的描述方法[5]。VHDL的英文全称为Very-High-Speed Integrated Circuit Hardware Description Language,是IEEE标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA公司的支持。 VHDL具有很多的优点使它能够被大多数人认可,被广泛应用在逻辑电路的设计方面,

电子拔河游戏机设计

电子技术课程设计说明书 课程名称:电子技术课程设计题目:电子拔河游戏机设计 课程设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光 二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏 机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合 应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 四、总评成绩

指导教师 学生签名 目录 一.设计任务分析 (1) 二.拔河游戏机的总体方案设计 (1) 2.1设计思路 (1) 2.2电路原理图 (1) 2.3电路工作原理 (3) 2.4各单元电路的设计 (3) 三.元器件的使用 (6) 四.总结 (7) 4.1实验评价 (7) 4.2心得体会 (8) 参考文献 (8) 附录 (8)

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开 机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方 各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方 向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一 方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使 亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动

拔河游戏机设计报告

数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称电子拔河游戏机 班级20120615 学号2012061518 学生姓名孙晓行 同组班级20120615 同组学号2012061517 同组姓名孙静 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 页脚内容- 0 -

2014年06 月 页脚内容- 1 -

摘要 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。游戏双方各拥有一个比赛时使用的单脉冲按钮,参与者按动一次按钮就产生一个脉冲,谁按的频率快产生的脉冲就多,由发光的LED灯的左右偏移模拟拔河过程,LED灯的偏移方向和位移由比赛双方所给出的脉冲数实时决定,该功能需要用计数电路通过加减计数来实现。当移动到某方的最后一个LED灯时,则该方获胜,连续比赛多局以定胜负。 此次设计的电路,主要分为四部分:控制电路部分;计数电路部分;电子绳电路部分;计分器电路部分。 其中控制电路部分主要由2个JK触发器和一个锁存器构成;计数电路主要由2个74LS192组成;电子绳电路由3个74LS138译码器,17个74LS04和17盏灯组成;计分器电路由两个74LS161计数器构成。 关键词:“拔河”;开关;脉冲;LED灯;左右偏移;计分电路 页脚内容- 2 -

目录 1 需求分析 ......................................................................................................................................... - 6 - 1.1 基本功能要求 ....................................................................................................................... - 6 - 1.2 创新拓展功能....................................................................................................................... - 6 - 1.3 设计原理 .............................................................................................................................. - 7 - 2 系统设计......................................................................................................................................... - 8 - 2.1 系统逻辑结构设计 ............................................................................................................... - 8 - 页脚内容- 3 -

电子拔河游戏机

1.设计目的 加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论文)奠定良好的基础。 2.设计任务 2.1设计指标 此次设计的电子拔河游戏机是模拟拔河比赛的电子游戏机,比赛开始的时候,只有当裁判下达指令时双方才可以输入信号,电路具有自己锁定的功能,在未下达命令前,电路不能开始运行。比赛开始后,双方通过控制按键进行比赛,使亮色的LED灯管移向自己的那一方。哪一方的速度按键越快,那么哪一方就会占优势,直到发亮的LED灯管移到自己的终点那一端,即为获胜方,此时电路自动锁定,双方按键无效,显示管显示比赛的结果数字。若循环比赛,则依次循环以上过程。 2.2设计要求 (1)拔河游戏机用9个电平指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线。(2)游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。 (3)移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 (4)最后,显示器显示胜者的盘数。 3.电子拔河游戏机的组成和工作原理 3.1电子拔河游戏机的构成 本次设计中共包含六个分支,分别是:整形电路,编码电路,译码电路,控制电路,胜负显示电路以及复位电路构成。 3.2原理分析 比赛两人通过开关产生脉冲,经过整形电路后接74LS192同步十进制可逆计数器,经74LS192同步二进制可逆计数器对信号进行加减后接译码电路,译码电路译码后显示到电平显示器上。再把胜负电路接到两头最边的电平显示灯上,胜负电路收到胜负信号后显示胜负次数。当比赛结束后由复位控制对显示胜负装置和电平显示灯回复到初始状态。这样就达到了设计目的和要求。 (1)整形电路:由与门74LS08和与非门74LS00构成。CP脉冲加到5脚和4脚控制加和减的,当加法计数时,减法输入端CPD接高电平;减法计数时,加法输入端CPU接高电平,但如果Q、W键产生的脉冲直接加上去,很多情况下在进行计数输入时另一计数输入端为低电平,计数器不能计数,双方按键均失去作

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告设计课题:拔河游戏机 专业班级:20111461班光信专业 学生姓名: 学号: 学生姓名: 学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由9个电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各 持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向 移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方 就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮 点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

2.3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 点亮。当按动A、B两个按键时,分别产生两使中间的一只电平指示灯Q 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。

基于Multisim的拔河游戏机.doc

电子线路课程 设计论文 题目拔河游戏机 学院信息工程学院 专业电子信息工程 班级08信工(2)班 学号200883080200883083 姓名熊海清刘春 指导老师高玲老师 2011 年 4月

摘要 本课程设计的内容是由信号发生器电路、可逆计数/译码器电路、胜负显示电 路和控制电路四部分组成设计的一款电子拔河游戏机。信号产生电路通过开关选 择接地还是接电源产生的脉冲,经过由与非门和与门组成的RS 触发器整形电路 整形后脉冲信号。可逆计数 /译码电路中的计数器采用集成芯片二进制可逆计数器 74ls192,译码器采用集成芯片 4 线- 16 线译码器 CC4514。由脉冲变化来控制9 个发光二极管的亮灭,从而模仿现实生活中拔河的要求,并由计数器CC4518 记录下两边的比分,在显示器 DCD_hex 上显示。经调试仿真效果均达到课程设计要求。 关键词:信号发生器;可逆计数 / 译码器; 74ls192 ; CC4514 Abstract Our curriculum design of programme - circuit is the signal, non-reversing count decoding circuit or circuit in the show and the control circuit of four sections of the design of a tug of war game. the signal an electronic circuit grounding or by a switch to choose the source produce pulses through the door and the door and the rs triggers plastic circuit after plastic surgery of pulses. reversible count decoding circuit counter the use of integrated chip in a binary counter 74ls192, coding for the use of integrated. Keywords: Signal generators;Code for the count;74ls192;CC4514

数电电子拔河游戏机设计

一、实验名称:拔河游戏机设计 二、实验目的: 为了理论结合实际的电子基础知识,验证,巩固和消化电子技术基本知识,综合应用数字电子技术解决生产第一线的实际问题,提高基本的专业动手能力,进一步培养分析问题和解决问题的能力,以后更好的为社会服务。 三、实验任务: 设计主要容及要求: 1、设计一个模拟拔河游戏比赛的逻辑电路。 2、电路使用9个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3、比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4、亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5、用七段数码管显示双方的获胜盘数。 四、实验设计思路 基本要求如下: (1)比赛开始时,由裁判(K)下达命令后,甲(A)乙(B)双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2)“电子绳”到少由9个LED管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED点亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。当从中点至自己一方终点的LED管全部点亮时,表示比赛结束。这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。 五、总体设计方案: 1、电路设计原理 拔河游戏机需用15个(或9个)发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任

拔河游戏数字电路设计

一、实验目的: 1.学习数字电路中基本D触发器、计数、译码显示等单元电路的综合应用。 2.熟悉拔河游戏机的工作原理。 3.复习数字电路中D触发器、4线—16线译码器、计数器、译码显示器等部 分内容。 4.分析拔河游戏机组成、各部分功能及工作原理。查出各芯片引脚排列及功 能。 二、实验器件: 1个CC4514 4线—16线译码器 1个74LS191 双向加减计数器 2个74LS160 十进制加法计数器 3个与门、2个或门、2个非门 2个D触发器 三、设计任务与要求: (1)设计思路 拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 用七段数码管显示胜者取胜的盘数。 (2)任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮产生脉冲,谁按得快,发光的二极管 4.就向谁的方向移动,每按一次,发光二极管移动一位。 5.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都 应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 6.用七段数码管显示双方的获胜盘数。 7.根据设计要求合理选择方案。

四、总体设计方案: (1)设计思路 1.设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲” 时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 (2)电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 图1电子拔河游戏机原理框图

电子拔河游戏机 实验报告

电子技术综合实验 设计报告 设计题目:电子拔河游戏机 专业班级: 学生: 学号: 指导教师:

一、设计任务 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的电子绳。由甲、乙二人通过按钮开关使发光的LED管向自己一方的终点移动,当亮点移到任何一方的终点时,则该方获胜,连续比赛多局以定胜负。 二、设计要求 1)由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 2)电子绳由17个LED管构成,裁判下达比赛开始命令后,位于电子绳中点的LED管发亮。甲、乙二人通过按钮开关使发光的管向自己一方的终点移动,并阻止其向对方延伸。当点亮的LED管到达某一方的终点时,该方获胜。此时通过自锁功能锁定电路,使输入不再有效,必须由裁判再次发出比赛开始命令时方能开始下一次比赛。 3)某方赢一次,有计分电路自动给该方加1分,通过多次比赛以定胜负。 三、总体方案设计 本方案中,有效输入信号代表的是拔河的力度的相对大小。则当A=1,B=0时,表示甲的力度比乙的力度大;当B=1,A=0时,表示乙的力度比甲的力度大;当A=1,且B=1时,表示甲的力度与乙的力度一样大;当A=0且B=0时,表示甲、乙均还未开始拔河。 当裁判员下达比赛开始命令后,比赛开始,甲、乙中的任意一方输入有效信号后,计数器开始计数。若甲输入有消信号时,进行加计数;若乙输入有效信号时,进行减计数。电子绳的LED开始移动;当甲、乙同时输入有效信号时,电子绳上点亮的LED灯不再移动。 当一局比赛结束后,电子绳上某一头的LED保持亮,此时,甲、乙的输入信号不再有效,计分电路此时记录并显示甲、乙总共的比赛成绩。当裁判下达下一局比赛开始的命令后,比赛才能开始,甲、乙输入信号才有效。 基本实验原理图如下:

电子拔河游戏机设计全文

数字逻辑与数字系统课程 设计报告 设计题目:拔河游戏机 专业班级:计算机科学与技术08-2班 学生:程杨杨20082567 同组学生:郑恒2008 指导教师:

拔河游戏机 摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力 本课程设计的内容就是采用74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、 计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规 则和真的拔河比赛规则相类似。 目录 一.设计任务与要求 (1) 1.设计任务 (1) 2.设计要求 (1) 二.总体设计方案 (1) 1.设计思路 (1) 2.电路设计原理 (1) 3.两个方案的对比 (6) 4. 实验方案论证 (6) 5. 实验目的 (6) 6. 实验器件 (7) 三.单元电路设计与参数计算 (7) 1. 整形电路 (7) 2. 计数电路 (7) 3. 译码电路 (8) 4. 胜负显示电路 (9) 四.总原理图及元器件清单 (11) 1. 总原理图 (11) 2. 说明 (14) 3. 元器件清单 (14) 五.结论与心得 (15)

六.参考文献 (16) 一.设计任务与要求 设计思路 给定实验设备和主要元器件按照电路设计的各部分组成一个完整的拔河游戏机。 1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的 中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮 点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方 就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 2.用七段数码管显示胜者取胜的盘数。 任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 6.根据设计要求合理选择方案。 二、总体设计方案: 2.1、设计思路 1.该设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 2.2、电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

数电拔河游戏机multisim 绝对能仿真

模拟与数字电子技术课程设计报告 设计课题:函数发生器的设计与制作 拔河游戏机的设计 专业班级:电子信息工程1001 学生姓名: 指导教师: 设计时间:2012.12.26~2013.01.07

数字电子技术课程设计 拔河游戏机 一、设计任务与要求 设计拔河游戏机用9个发光二极管排成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁的方向移动,每按一次,亮点移动一次。移到任一方终端二极管点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。用译码器、可逆计数器、十进制计数器、与门、与非门等组成电路。 二、方案设计与论证 三、单元电路设计与参数计算 1. 可逆计数器74LS193原始状态输出4位二进制数0000,经译码器输出使中间的一只电平指示灯X1点亮。当按动A、B两个按键时,分别产生两个脉冲信号,

经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始, 2.编码电路 采用74LS192十进制可逆技术器来实现 1.编码电路的设计:由双时钟二进制同步可逆计数器74LS193d构成,它有2个输入端,4个输出端,能进行加/减计数。通过编码器来控制电平指示灯的显示,加计数时向右移动,进行减计数时,向相反方向移动。电路图所示: 编码电路设计图 2.整形电路设计:由与门74LS08和与非门74LS00构成。因74LS193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时

拔河游戏机课程设计实验报告

课程设计说明书 课程名称:数字电子技术课程设计题目:拔河游戏机 班级: 姓名: 学号: 同组人:

设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 设计过程 一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。

拔河游戏机

拔河游戏机的设计 一、设计要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用9个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个脉冲按钮,快速不断地按动按钮,产生脉冲,谁按得 快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都 无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 二、设计方案 1.本课题所设计的拔河游戏机可由加减计数器进行计数并通过4线-16线连接15个电平指示灯排列成一行,开机之后计数器计数0000,只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,代表这一方获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 2.当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。 3.设计总体框图 三、具体电路设计及工作原理说明 1.实验器材 74LS193加减计数器 4线-16线译码器CC4514 74LS00与非门 74LS08与门 指示灯 +5V电源 2.编码电路 由二进制同步可逆计数器74LS193构成,它有4个输入端,4个输出端,能进

行加/减计数。通过编码器来控制电平指示灯的显示,加计数时向右移动,进行减计数时,向相反方向移动。电路图如下: 3.译码电路 由4线-16线译码器CC4514构成。译码器的输出Y0~Y15中选出9个接电平指示灯(Y0~Y7、Y9~Y15),电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。 比赛准备,译码器输入为0000,Y0输出为1,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。电路图如下 4.整形电路 由与门74LS08和与非门74LS00构成。因74LS193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由1、0键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使1,0键出来的脉冲经整形后变为一个占空比很大的脉冲,这就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。电路图如下: 5.原理说明 开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断按,加减计数器产生脉冲。拔河游戏机需要使用9个发光的二极管排列成一行,开动产生脉冲,谁按得快亮点向谁的方向移动,每按一次亮点移动一次。移动到任意一方的终端二极管点亮,这一方就取得了胜利。此时双方的按键均不起作用,输出保持,只有经复位后才使亮点恢复到中间。 总体原理电路图如下: 四、测试结果 裁判控制开关A打到低电平(中间线指示灯亮)比赛开始,两选手分别控制开关1、0,加减计数器开始计数,哪方的脉冲频率高,指示灯亮点向哪方移动。当亮点移动到首或尾时,比赛结束。此时加减计数器的电路被封锁,1、0控制的脉冲没有作用,指示灯亮点保持这时的状态,可显示出胜利的一方。裁判将开关A打到高电平,亮点再次回到中间线。当再次打到低电平,比赛再次开始。 电路图: (未开始拔河时,中间的灯亮) (开始拔河后,灯向按得快的那一方移动) (移到任一方终端二极管发亮,这一方就得胜,控制按键不再起作用) (结束游戏后,按复位键A复位,中间的灯再次亮起,又可以开始拔河游戏)五、总结

相关文档
最新文档