模拟电路仿真

模拟电路仿真
模拟电路仿真

模拟电路的仿真

doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 文档标识文档类别文档状态文档编号模拟电路的仿真设计部内部资料修改中、已完成培-001 版本完成日期制作人备注 v1.0 2003 年7 月 24 日冯翰雪

模拟电路的仿真

北京中科微电子技术有限公司·设计部 2003 年 7 月

第 1 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 摘要:摘要:本文介绍了模拟电路仿真的一些基本概念和 Cadence 的模拟仿真环境。排版约定楷体,无衬线字体(楷体,Sans-Serif)第一次出现的术语。软件名称。宋体,等宽字体(宋体,

constant-width):用于例子和普通文本,显示 Unix 命令,各种代码、文本文件内容等。粗体等宽字体表示用户输入的 Unix 命令粗体等宽字体

1 模拟电路仿真基础

1.1 模拟分析类型

为了便于分析电路的特性,电路在不同条件下有不同的近似模型。最为典型的例子就是 MOS 管的大信号模型和小信号模型:大信号模型用于分析计算电路的直流工作点、偏置等;小信号模型用于分析电路的频率响应、开环增益等等。在模拟电路仿真中,也有类似的区分,称为“分析类型” 。不同的分析类型使用不同的近似模型,用于分析电路不同方面的特性。常见的分析类型有以下几种。 1.1.1 直流分析 (dc)

直流分析用于确定电路的直流工作点,例如运放偏置电路产生的偏置电流、电压。做直流分析时认为电容断路、电感短路。在交流分析、瞬态分析之前自动进行直流分析。直流分析也可通过扫描某

个参数来分析电路的直流传输特性,被扫描的参数可以是电压、电流、频率、温度、元件参数、模型参数等等。例如,扫描温度参数可以分析电路的温度特性;扫描 MOS 管栅极电压可以画出 MOS 的VGS-ID 曲线。

1.1.2 交流分析 (ac)

交流分析主要用于分析电路的频率响应,例如用交流分析可以画出运放的幅频响应曲线、相频响应曲线,计算开环增益、相位裕度等等。交流分析时,使用器件在直流工作点附近的线性的交流小信号模型进行计算,电路的激励是正弦交流小信号。交流分析也可以在某一个频率上扫描电路的某个参数,例如分析运放在低频时开环增益随温度的变化。被扫描的参数可以是频率、温度、元件参数、模型参数等等。如果被扫描的参数会改变电路的直流工作点,则重新计算直流工作点。

第 2 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

1.1.3 瞬态分析 (tran)

瞬态分析主要用于分析电路的实时响应。瞬态分析计算从开始时间到结束时间内电路中各个节点、元件的电压、电流等随时间的变化情况。如果没有指定初始条件,则使用电路的直流工作点。

1.1.4 其他分析类型

以上三类分析是最常用的分析类型。除此之外,仿真工具还支持很多其他类型的分析。例如 Cadence Spectre 还有以下分析类型。具体说明请参考 Spectre Reference Noise Analysis (noise) Periodic Steady-State Analysis (pss) S-Parameter Analysis (sp) Time-Domain Reflectometer Analysis (tdr) Transfer Function Analysis (xf)

1.2 仿真环境

Cadence 的电路仿真工具是 Spectre,如图一所示。其功能类似与 SPICE,但具体语法、器件模型等方面有所不同。Spectre 是一个命令行工具,如图一所示。

图一、电路仿真工具——Spectre

电路按照 Spectre 规定的语法进行描述,这个描述称为网表(netlist)。网表中还包含描述输入激励、分析类型、选项控制、结果记录等内容的语句。Spectre 程序以网表文件作为

第 3 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 输入,根据网表指定的方式进行电路仿真、记录结果。下面是一个反相器网表的例子。

* # FILE NAME: V2_A_INV1 *

schematic/netlist/V2_A_INV1.C.raw * Netlist output for spectreS. * Generated on Jul 24 21:59:27 2003 * global net definitions .GLOBAL vdd\! simulator lang= spectre * File name: motorlib_V2_A_INV1_schematic.s. * Subcircuit for cell:

V2_A_INV1. * Generated for: spectreS. * Generated on Jul 24 21:59:27 2003. m1 (zn i 0 0) nmos w=3.6e-6 l=1.2e-6 m=1.0

m0 (zn i vdd\! vdd\!) hp0ns w=12e-6 l=1.2e-6 m=1.0 图二、一个反相器的 Spectre 网表

网表可以手工编写。但更方便快捷的方法是用 Composer 绘制电路原理图(如图三所示),然后用工具自动生成网表。为了从电路原理图产生符合 Spectre 语法的网表,要求电路原理图中只使用analogLib 库中的元件。

图三、电路图输入工具——Composer

第 4 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 仿真结果可以用 Results Browserh, Waveform 等工具进行查看。后者(如图四)可以直接绘制出输出波形。Cadence 还提供了 Caculator,帮助设计者对仿真结果进一步分析。

图四、仿真结果查看工具——Waveform

图五、仿真结果计算工具——Calculator

第 5 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) Cadence 为模拟、数模混合仿真设计了一个集成开发环境: Analog Artist,如图六所示。在 Analog Artist 中可以完成编辑电路原理图,生成网表,设置分析类型,观测波形等等整个模拟电路仿真流程。

图六、模拟电路集成开发环境——Analog Artist

1.3 analogLib

前面提到模拟电路原理图中只能使用 analogLib 库中的元件。如图七所示,analogLib 库中的元件基本上与 Spectre 中的器件一一对应,并且可以直接在 Composer 中设置各个元件的参数。在用Spectre 进行电路仿真时,建议使用单元的 spectre 和 spectreS 视图。常用元件列与下表。单元名 res cap ind nmos4 pmos4 diode gnd vdd 说明电阻电容电感 NMOS 管 PMOS 管二极管地线符号电源符号主要参数阻值电容值、初值电感值、初值模型名、宽、长、倍数模型名、宽、长、倍数模型名、结面积、倍数第 6 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 图七、analogLib

模拟电路必须考虑 MOS 管的衬偏效应。因此在电路原理图中要求所有 MOS 管的衬底有明确的连接,也即必须使用 analogLib 库中的四端元件 nmos4 和 pmos4,如下图所示:

图八、nmos4, pmos4

图九是电阻 R1 的属性,在这里可以直接设置电阻的阻值(Resistance)、模型名(Model name)等等参数。从电路原理图生成Spectre 网表时,就会自动生成如下 Spectre 语句来描述这个电阻:

rr1 (v_rc 0) resistor r=10e3 m=1.0

第 7 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公

司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 图九、电阻的属性对话框

除了基本元器件外,analogLib 中还包含了各种电流、电压源,它们常被用作电路的输入激励。常用的电压源列于下表。另外,将下表中单元名首字母 v 改成 i 即是相应功能的电流源。单元名vdc vpulse vsin vpwl 说明直流电压源脉冲信号源正弦信号源分段线性信号源主要参数直流电压;交流幅度、相位。(常用作电路电源)高低电平电压值、脉冲宽度、脉冲周期幅度、频率、相位、偏置电压时间-电压对数目、(时间、电压)

第 8 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

1.4 器件模型

不同工艺的 MOS 管参数不同,甚至不同尺寸范围的 MOS 其参数也不同。通常成熟的工艺线至少会提供 MOS 模型。下面是一个模型定义文件的部分内容,它定义了一个模型名称(Model name)为“nmos”的 NMOS 管模型。

.model nmos NMOS +Level= +Tref=27.0 +Npeak= 4.3007E+16 +SatMod= 2 +BulkMod= 1 +Vth0= .7030622 …… Phi= .7705066 Tox=2.10000E-08 Xj=3.00000E-07 +dl= 2.00000E-07 dw=

5.00000007450581E-08 SubthMod= 2 10

在模拟电路原理图中,要为每一个 MOS 管指定一个模型名。例如,图八中 NMOS 管的模型名是“nmos” ,PMOS 管的模型名是“hp0ns” 。这里的模型名称与模型定义文件中定义的名称一致,而不必与模型定义文件名一致。

第 9 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

2 入门指南

下面以仿真一个简单的运放为例,说明模拟电路仿真的步骤。 2.1 预备工作

本文假设工作目录为 students 用户的主目录

/export/home/casic/student/,若不同则需做相应修改。首先建立工作环境。执行

/export/home/casic/training/analogsim/restart 脚本,指定工作目录。注意, restart 脚本要求输入完整的绝对路径,并且路径末尾不加“/” 。该脚本把本教程所需的库、模型等文件拷贝到工作目录的 anlaogsim 子目录下。然后进入 anlaogsim 目录启动icfb。命令列表如下,其中粗体部分为用户的输入。

u60a:/export/home/casic/student/>/export/home/casic/trainin g/analogsim/restart Please input where you want to setup : /export/home/casic/student Please wait …… OK

u60a:/export/home/casic/student/>cd analogsim

u60a:/export/home/casic/student/analogsim>ls -F cds.lib lib/ libManager.log models/ restart* simulation/

u60a:/export/home/casic/student/analogsim>icfb&

Libaray Manager 中有四个库,如图七所示。analogLib, basic, cdsDefTechLib 是 Cadence 的基本参考库。analogsim 库中包含两个单元:opamp 和 opamp_tb。前者是一个简单的运放;后者是该运放的测试电路。以下所有操作都在 analogsim 库中进行。

第 10 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

2.2 第一步:绘制电路图

以只读只读方式打开 analogsim 库中的 opamp 单元的schematic 视图,观察其结构。只读单击选中 PMOS 管 M1,“Q”键打开它的属性对话框,如下图所示。

图十、M1 的属性对话框

从 M1 的属性对话框中可见,它是 analogLib 库中的 pmos4 单元;宽长比是 48/2.4;它的模型名是“hp0ns” 。名为“hp0ns”的 PMOS 管是在 models/目录下名为“hp0ns.m” 的文件中定义的。

另外,该目录下的“nmos.m”文件定义了 NMOS 管模型“nmos” 。然后关闭 opamp 单元的电路图,打开 opamp_tb 单元的电路图。opamp_tb 单元的电路图中,U1, U2 两个单元是前面查看得 opamp 单元的实例。U1 和电阻构成一个同相比例运放,闭环增益为 5 倍,它用于演示瞬态分析的方法。而 U2 输入差分正弦小信号,用于演示交流分析的方法。在 Composer 中可以直接为电路加上输入激励,这些激励用 analogLib 库中的相关单元源产生。opamp_tb 单元的电路图中已经设置好这些激励元件的参数,可以逐项查看一下以下各项参数。 V0 是 analogLib 库中的 vdc 单元,为整个电路供电,其参数仅需设置“DC Volatage” 一项,这里设为“5 V” 。 V1 是analogLib 库中的 vpwl 信号源,用于产生同相比例运放的输入信号。其参数设置如下图所示。V1 会产生如图十二所示的电压波形。

第 11 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 图十一、V1 的参数设置

V 1V

(Time 1, Voltage 1) (Time 2, Voltage 2)

0.1V 0 图十二、V1 产生的波形 100us

t

第 12 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) V2, V3 也是 vdc 单元,它们为运放 U2 设置输入偏置、提供差分输入信号。其中 V2 的参数设置如下图。这里设置了“DC Voltage”作为输入偏置电压,在进行交流分析之前,仿真器用该值计算电路的直流静态工作点;而“AC magnitude”项是交流分析时输入的交流小信号的幅度。V3 除“AC magnitude”项为“-10m V”外,其余于 V2 设置相同。

图十三、V2 的参数设置

第 13 页共 25页

司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

2.3 第二步:设置仿真环境

执行 Composer 的菜单命令“Tools->Analog Artist” 即可直接打开集成开发环境 Analog Artist。所有仿真环境直接在Analog Artist 中设置。首先选择后端的模拟器。执行 Analog Artist 的菜单命令“Setup->Simulator/Directory /Host ……”将出现如图十四所示的对话框。在该对话框中可以设置: Simulator:这里选用 Cadence Spectre 作为后台运行的模拟器,选择“spectreS”项。 Project Directory:这里指定存放的仿真数据——如电路网表、仿真结果等——的目录。建议如图设置。 Host Mode:因为 Spectre 在本机运行,故选择“local”项。

图十四、设置仿真器、仿真目录

第 14 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 然后执行菜单命令“Setup->Environment ……”弹出“Environment Options”对话框。这里需要设置“Include/Stimulus File Syntax”和“Include File”两项内容。如图十五所示。这一步设置主要是为了指定模型文件的路径。在文件“~/analogsim/models/ inc.v”中包含了模型文件——也即 nmos.m, hp0ns.m 两个文件——的路径(前面“预备工作”中执行的 setup.sh 脚本即用于自动生成 inc.v 文件),其内容类似如下:

#include

"/export/home/casic/student/analogsim/models/hp0ns.m"

#include

"/export/home/casic/student/analogsim/models/nmos.m"

该文件中的内容将被合并到最终电路网表文件中,传送给Spectre 进行仿真。

图十五、设置 Include 文件

第 15 页共 25 页

司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

2.4 第三步:选择分析类型

Analog Artist 中在菜单命令“Analyses->Choose ……”弹出的“Choose Analyses”对话框中选择分析类型。各种分析类型最下方都有一个“Enabled”选项:,选中后即进行相应的分析。下面仅以瞬态分析和交流分析为例。一般情况下,瞬态分析仅需设置结束时间(Stop Time)一个参数,如图十六所示。然后选中“Enabled”选项即可。

图十六、设置瞬态分析

第 16 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 交流分析的设置如图十七所示。为了绘出运放的频率响应,选择扫描变量(Sweep Variable)为“Frequency” ,然后设置扫描范围

10~500MHz,最后选中“Enabled”选项。

图十七、设置交流分析

第 17 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

2.5 第四步:指定观测数据

使用“Outputs”菜单下的命令选择观测数据,如图十八所示。

图十八、Analog Artist 的 Outputs 菜单

首先我们进行瞬态分析仿真,观测运放 U1 的输入信号 Vin 和输出 Vout,并作出同相比例运放的输入-闭环增益曲线。执行“Outputs->To Be Plotted->Select On Schematic”命令,Analog Artist 自动把电路图抬到前台,这时用鼠标点击电路图中的线网则观测该线网上的电压,点击元件的端口则观测该端口流过的电流(如图十九所示)。

图十九、观测端口电流

这里仅需点击一下“Vin”和“Vout”两个线网。选择完毕以后

按“Esc”键退出命令。“To Be Plotted”命令将把选中数据的仿真波形直接显示到 Waveform 中。然后选择交流分析所需的数据,观测 U2 的频率响应,绘制幅频响应和相频响应。执行

“Outputs->To Be Saved->Select On Schematic” 命令,单击电路图中线网“V+” “V-” “Vac” 。因为不需直接绘出这三个信号的仿真波形,所以只用“To Be Saved”命令保存仿真结果。所有被选择的观测数据列于 Analog Artist 的 Outputs 栏内,如下图所示。

第 18 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 图二十、Analog Artist 的 Output 栏

2.6 第五步:瞬态分析仿真

至此可以运行仿真了。首先只进行瞬态分析:选中图十六中的“Enabled”选项,不选中图十七中的“Enabled”选项。Analog Artist 的 Analyses 栏如图二十一所示。

图二十一、Analog Artist 的 Analyses 栏

然后执行菜单命令“Simulation->Run” ,在 icfb 的信息窗口会显示仿真过程信息,仿真完成后 icfb 显示类似于图二十二。

图二十二、仿真结束后 ICFB 的显示

第 19 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) Analog Artist 会自动弹出 Waveform 窗口,绘制前面“To Be Plotted”命令选择的数据的仿真波形,如图二十三所示。

图二十三、瞬态分析的仿真波形

为了得到同相比例运放的增益曲线,需要使用 Calculator 工具(如图五所示)。执行 Analog Artist 菜单命令

“Tools->Calculator”打开 Calculator。首先执行一下Calculator 的菜单命令“Options->Set Algebraic” 该命令将表达式格式设,置成代数形式,Calculator 默认使用 RPN (Reverse

Polish Notation)表达式。然后在 Calculator 上方的输入框中输入: VT("/Vout")/VT("/Vin") 。其含义是瞬态分析电压值 Vout 和Vin 之比随时间的变化关系。最后单击 Calculator 的波形。结果如图二十四所示。按钮(erase plot),在 Waveform 中绘制上述表达式的

第 20 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 图二十四、运放闭环增益

2.7 第六步:交流分析仿真

下面仿真运放的频率响应。设置只进行交流分析, Analog Artist 的 Analyses 栏如下所示。

图二十五、Analog Artist 的 Analyses 栏

第 21 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 然后选择 Analog Artist 菜单命令“Simulation->Run”运行仿真。待仿真完成后打开 Calculator,输入如下表达式:

dB20(VF("/Vac")/(VF("/V-")-VF("/V+"))) 。该表达式的含义是交流分析电压值 Vac 与差分输入(V--V+)之比(取分贝值)随频率的变化关系,最后单击 Calculator 的“erplot”按钮,即可绘制出运放的频率响应的波特图,如图二十六所示。

图二十六、运放的幅频响应

第 22 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0) 同样,绘制表达式 phase(VF("/Vac"))-phase(VF("/V+")-VF("/V-")) 的波形即可得到运放的相频特性。如所示。

图二十七、运放的相频响应

第 23 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公

司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

3 小结

本教程中,我们介绍了模拟仿真的基本概念,包括分析类型、Cadence 的相关工具、 analogLib 库等等。并以分析运放的闭环增益和频率响应为例,介绍了模拟仿真的流程。关于模拟仿真进一步的知识,可以参考以下文档。

Openbook 中的模拟电路设计相关文档

Analog Artist Simulation Help Analog Artist Microwave Design Help Analog Artist Mixed-Signal Simulation Help Analog Artist Parametric Analysis Help Analog Artist Substrate Coupling Analysis (SCA) Help Analog Artist SKILL Functions Reference Analog Artist Tutorial: Switched Capacitor Design Analog Expression Language Reference Cadence SPICE Reference Component Description Format User Guide Functional Block Library Reference HSPICE/SPICE Interface and SPICE 2G.6 Reference Spectre Reference Spectre User Guide SpectreHDL Reference SpectreRF Help Switched Capacitor Design System Help Verilog-A Reference

第 24 页共 25 页

北京中科微电子技术有限公司· 北京中科微电子技术有限公司·设计部培训教程:培训教程:模拟电路的仿真 (v1.0)

4 高级话题

数模混合仿真 Netlist for Hspice 高级分析类型寄生参数分析 Monte Carlo 分析优化

第 25 页共 25 页

1

模拟电子电路仿真和实测实验方案的设计实验报告111-副本

课程专题实验报告 (1) 课程名称:模拟电子技术基础 小组成员:涛,敏 学号:0,0 学院:信息工程学院 班级:电子12-1班 指导教师:房建东 成绩: 2014年5月25日

工业大学信息工程学院课程专题设计任务书(1)课程名称:模拟电子技术专业班级:电子12-1 指导教师(签名): 学生/学号:涛 0敏0

实验观察R B 、R C 等参数变化对晶体管共射放大电路放大倍数的影响 一、实验目的 1. 学会放大器静态工作点的调式方法和测量方法。 2.掌握放大器电压放大倍数的测试方法及R B 、R C 等参数对放大倍数的影响。 3. 熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1为电阻分压式工作点稳定单管放大器实验电路图。偏置电阻R B1、R B2组成分压电路,并在发射极中接有电阻R E ,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号后,在放大器的输出端便可得到一个与输入信号相位相反、幅值被放大了的输出信号,从而实现了电压放大。 三、实验设备 1、 信号发生器 2、 双踪示波器 SS —7802 3、 交流毫伏表 V76 4、 模拟电路实验箱 TPE —A4 5、 万用表 VC9205 四、实验容 1.测量静态工作点 实验电路如图1所示,它的静态工作点估算方法为: U B ≈ 2 11B B CC B R R U R +? I E =E BE B R U U -≈Ic U CE = U CC -I C (R C +R E )

图1 晶体管放大电路实验电路图 实验中测量放大器的静态工作点,应在输入信号为零的情况下进行。 根据实验结果可用:I C ≈I E = E E R U 或I C = C C CC R U U U BE =U B -U E U CE =U C -U E 计算出放大器的静态工作点。 五.晶体管共射放大电路Multisim仿真 在Multisim中构建单管共射放大电路如图1(a)所示,电路中晶体管采用FMMT5179 (1)测量静态工作点 可在仿真电路中接入虚拟数字万用表,分别设置为直流电流表或直流电压 表,以便测量I BQ 、I CQ 和U CEQ ,如图所示。

实验一、电路模拟基础

实验一、电路模拟基础 概要 该实验包括用户基础界面,ADS文件的创建过程包括建立原理图、仿真控件、仿真、和数据显示等部分的内容。该实验还包括调谐与谐波平衡法仿真的一个简单例子。 目标 ●建立一个新的项目和原理图设计 ●设置并执行S参数模拟 ●显示模拟数据和储存 ●在模拟过程中调整电路参数 ●使用例子文件和节点名称 ●执行一个谐波平衡模拟 ●在数据显示区写一个等式 目录 1.运行ADS (2) 2.建立新项目 (3) 3.检查你的新项目内的文件 (5) 4.建立一个低通滤波器设计 (5) 5.设置S参数模拟 (6) 6.开始模拟并显示数据 (7) 7.储存数据窗口 (9) 8.调整滤波器电路 (10) 9.模拟一个RFIC的谐波平衡 (12) 10.增加一个线标签(节点名称),模拟,显示数据 (16)

步骤 1.运行ADS 在开始菜单中选择“Advanced Design System2005A → Advanced Design System”(见图一)。 图一、开始菜单中ADS 2005A的选项 用鼠标点击后出现初始化界面。 图二、ADS 2005初始化界面 随后,很快出现ADS主菜单。 图三、ADS主菜单

如果,你是第一次打开ADS,在打开主菜单之前还会出现下面的对话框。询问使用者希望做什么。 图四、询问询问使用者希望做什么的对话框 其中有创建新项目(Create a new project);打开一个已经存在的项目(Open a existing project);打开最近创建的项目(Open a recently used project)和打开例子项目(Open an example project)四个选项。你可以根据需要打开始当的选项。同样,在主菜单中也有相同功能的选项。如果,你在下次打开主菜单之前不出现该对话框,你可以在“Don’t display this dialog box again”选项前面的方框内打勾。 2.建立新项目 a.在主窗口,通过点击下拉菜单“File→New Project…”创建新项目。 图五、创建新项目对话框 其中,项目的名称的安装目录为ADS项目缺省目录对应的文件夹。(一般安装时缺省目录是C:\user\default,你可以修改,但是注意不能用中文名称或放到中文名称的目录中,因为那样在模拟时会引起错误)。在项目名称栏输入项目名称“lab1”。 对话框下面的项目技术文件主要用于设定单位。在微带线布局时有用,我们选择mil。

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

模拟电路_Multisim软件仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

模拟电路自主设计实验

姓名_____________________班级_____________________学号_____________________ 日期_____________节次______________成绩__________教师签字__________________ 哈尔滨工业大学模拟电路自主设计实验 实验名称:运算放大器在限幅电路中的应用 一、实验目的 1、深入了解运算放大器的放大作用和深度负反馈; 2、灵活运用运算放大器的多种应用; 二、总体技术路线 2.1 当输入信号电压进入某一范围内,其输出信号的电压不再跟随输入信号电压的变化。 串联限幅电路:当输入电压U i <0或U i为数值较小的正电压时,D1截止,运算放大器的输出电压U0=0;仅当输入电压U i>0且U i为数值大于或等于某一个的正电压U th时,D1才正偏导通,电路有输出,且U0跟随输入信号U i变化。 并联限幅电路:当输入信号U i较小时,输出电压U0也较小,D1和D2没有击穿,U0跟随输入信号U i变化而变化,传输系数为:A uf=-R1 /R2;当U i幅值增大,使U0的幅值增大,并使D1和D2击穿,输出U0的幅度保持+(U z+U D)值不变,电路进入限幅工作状态。 2.2绝对值电路 当输入电压U i>0,则运算放大器的输出电压U1,D1导通,D2截止,输出电压U0 =0;当输入电压U i <0,则运算放大器的输出电压U1 >0,D2导通,D1截止,输出电压U0 =-R1 U i/R2。并通过反向放大器将整流信号放大两倍,再增加一个同相加法器,让输入信号的另一极性电

压不经整流,而直接送到加法器,与来自整流电路的输出电压相加,便构成了绝对值电路。 三、实验电路图 1、串联限幅电路: 2、并联限幅电路:

Multisim模拟电路仿真实验

实验19 Multisim 数字电路仿真实验 1.实验目的 用Multisim 的仿真软件对数字电路进行仿真研究。 2.实验内容 实验19.1 交通灯报警电路仿真 交通灯故障报警电路工作要求如下:红、黄、绿三种颜色的指示灯在下 列情况下属正常工作,即单独的红灯指示、黄灯指示、绿灯指示及黄、绿灯 同时指示,而其他情况下均属于故障状态。出故障时报警灯亮。 设字母R 、Y 、G 分别表示红、黄、绿三个交通灯,高电平表示灯亮, 低电平表示灯灭。字母Z 表示报警灯,高电平表示报警。则真值表如表 19.1所示。 逻辑表达式为:RY RG G Y R Z ++= 若用与非门实现,则表达式可化为:RY RG G Y R Z ??= Multisim 仿真设计图如图19.1所示: 图19.1的电路图中分别用开关A 、B 、C 模拟控制红、黄、绿灯的亮暗,开关接向高电平时表示灯亮,接向低电平时表示灯灭。用发光二极管LED1的亮暗模拟报警灯的亮暗。另外用了一个5V 直流电源、一个7400四2输入与非门、一个7404六反相器、一个7420双4输入与非门、一个500 表19.1 LED_red LED1 图19.1

欧姆电阻。 在模拟实验中可以看出,当开关A、B、C中只有一个拨向高电平,以及B、C同时拨向高电平而A拨向低电平时报警灯不亮,其余情况下报警灯均亮。 实验19.2数字频率计电路仿真 数字频率计电路(实验13.3)的工作要求如下:能测出某一未知数字信号的频率,并用数码管显示测量结果。如果用2位数码管,则测量的最大频率是99Hz。 数字频率计电路Multisim仿真设计图如图19.2所示。其电路结构是: 用二片74LS90(U1和U2)组成BCD码100进制计数器,二个数码管U3和U4分别显示十位数和个位数。四D触发器74LS175(U5)与三输入与非门7410(U6B)组成可自启动的环形计数器,产生闸门控制信号和计数器清0信号。信号发生器XFG1产生频率为1Hz、占空比为50%的连续脉冲信号,信号发生器XFG2产生频率为1-99Hz(人为设置)、占空比为50%的连续脉冲信号作为被测脉冲。三输入与非门7410(U6A)为控制闸门。 运行后该频率计进行如下自动循环测量: 计数1秒→显示3秒→清零1秒→…… 改变被测脉冲频率,重新运行。

怎样利用电路仿真软件进行模拟电路课程的学习

怎样利用电路仿真软件进行模拟电路课程的学习电路分析实验报告 实验二 学习用multisim软件对电路进行仿真 一.实验要求与目的 1.进一步熟悉multisim软件的各种功能。 2.巩固学习用multisim软件画电路图。 3.学会使用multisim里面的各种仪器分析模拟电路。 4.用multisim软件对电路进行仿真。 二、实验仪器 电脑一台及其仿真软件。 三.实验内容及步骤

(1)在电子仿真软件Multisim 基本界面的电子平台上组建如图所示的仿真电路。双击电位器图标,将弹出的对话框的“Valve”选项卡的“Increment”栏改成“1”,将“Label”选项卡的“RefDes”栏改成“RP。 ” 2)调节RP大约在35%左右时,利用直流工作点分析方法分析直 流工作点的值。直流工作点分析(DC Operating Point Analysis)是用来分析和计算电路静态工作点的,进行分析时,Multisim 自动将电路分析条件设为电感、交流电压源短路,电容断开。 单击Multisim 菜单“Simulate/Analyses/DC operating Point…”,在弹出的对话框中选择待分析的电路节点,如2图所示。单击Simulate 按钮进行直流工作点分析。分析结果如图3所示。列出了

单级阻容耦合放大电路各节点对地电压数据,根据各节点对地电压数据,可容易计算出直流工作点的值,依据分析结果,将测试结果填入表1中,比较理论估算与仿真分析结果。 表1 静态工作点数据 电压放大倍数测试 (1)关闭仿真开关,从电子仿真软件Multisim 10基本界面虚拟仪器工具条中,调出虚拟函数信号发生器和虚拟双踪示波器,将虚拟函数信号发生器接到电路输入端,将虚拟示波器两个通道分别接到电路的输入端和输出端,如图4所示。 (2)开启仿真开关,双击虚拟函数信号发生器图标“XFG1”,将打开虚拟函数信号发生器放大面板,首确认“Waveforms”栏下选取的是正弦信号,然后再确认频率为1kHZ”;再确认幅度为 10mVp,如图5所示。 四.仿真分析 动态测量仿真电路

模拟电路实验报告.doc

模拟电路实验报告 实验题目:成绩:__________ 学生姓名:李发崇学号指导教师:陈志坚 学院名称:专业:年级: 实验时间:实验室: 一.实验目的: 1.熟悉电子器件和模拟电路试验箱; 2.掌握放大电路静态工作点的调试方法及其对放大电路性能的影 响; 3.学习测量放大电路Q点、A V、r i、r o的方法,了解公发射极电路特 性; 4.学习放大电路的动态性能。 二、实验仪器 1.示波器 2.信号发生器 3.数字万用表 三、预习要求 1.三极管及单管放大电路工作原理: 2.放大电路的静态和动态测量方法:

四.实验内容和步骤 1.按图连接好电路: (1)用万用表判断试验箱上三极管的好坏,并注意检查电解电容 C1,C2的极性和好坏。 (2)按图连接好电路,将Rp的阻值调到最大位置。(注:接线前先 测量电源+12V,关掉电源后再连接) 2.静态测量与调试 按图接好线,调整Rp,使得Ve=1.8V,计算并填表 心得体会:

3.动态研究 (一)、按图连接好电路 (二)将信号发生器的输入信号调到f=1kHz,幅值为500mVp,接至放大电路A点。观察Vi和V o端的波形,并比较相位。 (三)信号源频率不变,逐渐加大信号源输出幅度,观察V o不失真时的最大值,并填表: 基本结论及心得: Q点至关重要,找到Q点是实验的关键, (四)、保持Vi=5mVp不变,放大器接入负载R L,在改变Rc,R L数值的情况下测量,并将计算结果填入表中:

实验总结和体会: 输出电阻和输出电阻影响放大效果,输入电阻越大,输出电阻越小,放大效果越好。 (1)、输出电阻的阻值会影响放大电路的放大效果,阻值越大,放大的倍数也越大。 (2)、连在三极管集电极的电阻越大,电压的放大倍数越大。 (五)、Vi=5mVp,增大和减小Rp,观察V o波形变化,将结果填入表中: 实验总结和心得体会: 信号失真的时候找到合适Rp是产生输出较好信号关键。 (1)Rp只有在适合的位置,才能很好的放大输入信号,如果Rp阻值太大,会使信号失真,如果Rp阻值太小,则会使输入信号不能被

Proteus在模拟电路中仿真应用

Proteus在模拟电路中仿真应用Proteus在很多人接触都是因为她可以对单片机进行仿真,其实她在模拟电路方面仿真能力也很强大。下面对几个模块方面的典型带那路进行阐述。 第1部分模拟信号运算电路仿真 1.0 运放初体验 运算,顾名思义,正是数学上常见的加减乘除以及积分微分等,这里的运算电路,也就是用电路来实现这些运算的功能。而运算的核心就是输入和输出之间的关系,而这些关系具体在模拟电路当中都是通过运算放大器实现的。运算放大器的符号如图1所示。 同相输入端, 输出信号不反相 反相输入端, 输出信号反相 输入端 图1 运算放大器符号 运算器都工作在线性区,故进行计算离不开工作在线性区的“虚短”和“虚断”这两个基本特点。与之对应的,在Proteus中常常用到的放大器有如图2几种。 3 2 1 4 1 1 U1:A TL074 3 2 6 7 415 U5 TL071 3 2 6 7 415 U6 741图2 Proteus中几种常见放大器 上面几种都是有源放大器件,我们还经常用到理想无源器件,如图4所示,它的位置在“Category”—“Operational Amplifiers”—“OPAMP”。

图4 理想无源放大器件的位置 1.1 比例运算电路与加法器 这种运算电路是最基本的,其他电路都可以由它进行演变。 (1)反相比例运算电路,顾名思义,信号从反相输入端进入,如图5所示。 RF 10K R1 2K Volts -5.00 R1(1) 图5 反相比例运算电路 由“虚断”“虚短”可知:f o i 1 *R u u R =- 我们仿真的值:11(1)1 ,2,10i f U R V R K R K ====,

Matlab第五章 Simulink模拟电路仿真

第五章Simulink模拟电路仿真 武汉大学物理科学与技术学院微电子系常胜

§5.1 电路仿真概要 5.1.1 MATLAB仿真V.S. Simulink仿真 利用MATLAB编写M文件和利用Simulink搭建仿真模型均可实现对电路的仿真,在实现电路仿真的过程中和仿真结果输出中,它们分别具有各自的优缺点。 武汉大学物理科学与技术学院微电子系常胜

ex5_1.m clear; V=40;R=5;Ra=25;Rb=100;Rc=125;Rd=40;Re=37.5; R1=(Rb*Rc)/(Ra+Rb+Rc); R2=(Rc*Ra)/(Ra+Rb+Rc); R3=(Ra*Rb)/(Ra+Rb+Rc); Req=R+R1+1/(1/(R2+Re)+1/(R3+Rd)); I=V/Req 武汉大学物理科学与技术学院微电子系常胜

ex5_1 武汉大学物理科学与技术学院微电子系常胜

武汉大学物理科学与技术学院微电子系常胜

注意Simulink仿真中imeasurement模块 /vmeasurement模块和Display模块/Scope模块的联合使用 Series RLC Branch模块中R、C、L的确定方式 R:Resistance设置为真实值Capacitance设置为inf(无穷大)Inductance设置为0 C:Resistance设置为0 Capacitance设置为真实值Inductance设置为0 L:Resistance设置为0Capacitance设置为inf Inductance设置为真实值 武汉大学物理科学与技术学院微电子系常胜

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

模拟电路仿真实验

模拟电路仿真实验 实验报告 班级: 学号: 姓名:

多级负反馈放大器的研究 一、实验目的 (1)掌握用仿真软件研究多级负反馈放大电路。 (2)学习集成运算放大器的应用,掌握多级集成运放电路的工作特点。 (3)研究负反馈对放大器性能的影响,掌握负反馈放大器性能指标的测试方法。 1.测试开环和闭环的电压放大倍数、输入电阻、反馈网络的电压反馈系数的通频带; 2.比较电压放大倍数、输入电阻、输出电阻和通频带在开环和闭环时的差别; 3.观察负反馈对非线性失真的改善。 二、实验原理及电路 (1)基本概念: 1.在电子电路中,将输出量(输出电压或输出电流)的一部分或全部通过一定的电路形式作用到输入回路,用来影响其输入量(放大电路的输入电压或输入电流)的措施称为反馈。 若反馈的结果使净输入量减小,则称之为负反馈;反之,称之为正反馈。若反馈存在于直流通路,则称为直流反馈;若反馈存在于交流通路,则称为交流反馈。 2.交流负反馈有四种组态:电压串联负反馈;电压并联负反馈;电流串联负反馈;电流并联负反馈。若反馈量取自输出电压,则称之为电压反馈;若反馈量取自输出电流,则称之为电流反馈。输入量、反馈量和净输入量以电压形式相叠加,称为串联反馈;以电流形式相叠加,称为并联反馈。 3.在分析反馈放大电路时,“有无反馈”决定于输出回路和输入回路是否存在反馈支路。“直流反馈或交流反馈”决定于反馈支路存在于直流通路还是交流通路;“正负反馈”的判断可采用瞬时极性法,反馈的结果使净输入量减小的为负反馈,使净输入量增大的为正反馈;“电压反馈或电流反馈”的判断可以看反馈支路与输出支路是否有直接接点,如果反馈支路与输出支路有直接接点则为电压反馈,否则为电流反馈;“串联反馈或并联反馈”的判断可以看反馈支路与输入支路是否有直接接点,如果反馈支路与输入支路有直接接点则为并联反馈,否则为串联反馈。 4.引入交流负反馈后,可以改善放大电路多方面的性能:提高放大倍数的稳定性、改变输入电阻和输出电阻、展宽通频带、减小非线性失真等。实验电路如图所示。该放大电路由两级运放构成的反相比例器组成,在末级的输出端引入了反馈网路C f 、R f2和R f1,构成了交流电压串联负反馈电路。 R110kΩ R2100kΩ R3 10kΩ R43.9kΩ R53.9kΩ R63.9kΩ R7200kΩ R81kΩ R94.7kΩR10300kΩ U1A LM324N 3 2 11 41 U1C LM324N 10 9 11 4 8 C110uF C210uF C3 10uF J1 Key = Space J2 Key = A VCC 10V VEE -10V 1 4 10 8 11 12 13 7 3 6 5VEE VCC 2 9

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

模拟电子技术课程设计(Multisim仿真).

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

模拟电子电路multisim仿真(很全 很好)

仿真 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1. 静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2. 动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3. 参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失

真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4. 频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。 由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。 1.1.2共集电极基本放大电路(射极输出器) 图7.1-7为一共集电极基本放大电路,用仪器库的函数发生器为电路提供正弦输入信号VI(幅值为1V,频率为10 kHz)采用与共射极基本放大电路相同的分析方法获得电路的静态工作点分析结果。用示波器测得电路的输出,输入电压波形,选用交流频率分析项分析出电路的频率响应曲线及相关参数。

模拟电路实验仿真

模拟电子电路仿真 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB 对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,

基于Multisim的模拟电路仿真技术

本科毕业设计(论文) 题目基于Multisim的 模拟电路仿真技术 部系地方生部 专业电子信息工程 学员郑怿 指导教员梁发麦 中国人民解放军海军航空工程学院 2007 年7 月

基于Multisim的模拟电路仿真技术 摘要:介绍了Multisim 软件的功能和特点,提出运用Multisim 实现模拟电路的仿真方法。通过几个电子原理性电路的仿真实例阐述了模拟电路建立、元器件的选用和仿真参数的设置方法等关健问题,同时得到了正确的仿真结果。 关键词:模拟电路;Multisim ;仿真技术;EDA 从20 世纪80 年代以来,电子系统日趋数字化、复杂化和大规模集成化。同时深亚微米半导体工艺、B 表面安装技术的发展又支持了产品集成化程度的进步,使电子产品进入了片上系统(SOC )时代。另外电子产品厂商不懈追求缩短产品设计周期,从而获取高收益。在这些因素的影响下,EDA 技术应运而生。EDA ( Electronic Design Automation ,电子设计自动化)技术是一门综合了现代电子与计算机技术,以计算机为平台对电子电路、系统或芯片进行设计、仿真和开发的计算机辅助设计技术。利用EDA 技术对电力电子电路进行仿真一直是研究电力电子技术的工程技术人员所期望实现的目标。Multisim 就为此提供了一个良好的平台。在这个平台上可以容易地实现了基本的电力电子电路的仿真,包括不控整流电路、可控整流电路、逆变电路等电路的仿真分析。仿真得到的结果与理论分析的结果基本一致,这对电子电路的设计具有重大的意义。本文主要介绍利用Multisim 10平台对基本电子电路进行仿真的方法,得出与理论相符合的结果,有利于实际的工程设计。 1 Multisim 的功能和特点 加拿大Interactive Image Technologie 公司在1958 年推出了一个专门用于电子电路仿真和设计的EDA 工具软件EWB ( Electronics Workbench )。由于EWB 具有许多突出的优点,引起了电子电路设计工作者的关注,迅速得到了推广使用。但是随着电子技术的飞速发展,EWB 5 . x 版本的仿真设计功能已远远不能满足复杂的电子电路的仿真设计要求。因此IIT 公司将用于电路级仿真设计的模块升级为Multi sim ,并于2001 年推出了Multisim 的最新版本Multisim 2001 。 Multisim 2001 继承了 EWB 界面形象直观、操作方便、仿真分析功能强大、分析仪器齐全、易学易用等诸多优点,并在功能和操作上进行了较大改进。主要表现为:增加了射频电路的仿真功能;极大扩充了元器件库;新增了元件编辑器;扩充了电路的测试功能;增加了瓦特表、失真仪、网络分析仪等虚拟仪器,并允许仪器仪表多台同时使用;改进了元件之间的连接方式,允许任意走向;支持VHDL 和Verilo g 语言的电路仿真与设计;允许把子电路作为一个元器件使用,允许用户自定义元器件的属性等。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 (一)模拟电路举例: 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告 实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了

解共射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 10k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

相关文档
最新文档