直接数字频率合成器

直接数字频率合成器
直接数字频率合成器

电子线路课程设计直接数字频率合成器

学号:

姓名:

2011年11月

摘要

本篇论文主要讲了用eda设计dds。用quartus 软件模拟仿真电路,并下载到芯片。使电路能输出正余弦波,并可调节频率和相位。并在这基础上进行一部分扩展,如能输入矩形三角形波。

关键词eda设计 dds quartus

Abstract:

This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. This design uses DDS QuartusII 7.0 software design, and downloads SmartSOPC experimental system hardware.

Key word eda design dds quartus

目录

设计要求 (4)

方案论证 (4)

各子模块设计原理 (6)

调试,仿真及下载 (12)

结论 (13)

一.设计要求

基本要求:

1、利用QuartusII软件和SmartSOPC实验箱实现DDS的设计;

2、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的RAM 实现,RAM结构配置成212×10类型;

3、具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统时钟分频得到;

4、系统具有使能功能;

5、利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形;

6、过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证;

提高部分:

1、通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路)

2、能够同时输出正余弦两路正交信号;

3、在数码管上显示生成的波形频率;

4、充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度;

5、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器;

6、基于DDS的AM调制器的设计;

7、自己添加其他功能。

二、方案论证

直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基

于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。

dds的组成及工作原理:

每来一个CLOCK,加法器就将频率控制字f与累加寄存器输出的累加相位数据相加,相加的结果又反馈送至累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此,相位累加器在每一个时钟脉冲输入时,把频率控制字累加以此,相位累加器输出的数据作为波形存储器的相位取样地址,这样就可把存储在波形存储器内的波形抽样值进行找表查出,完成相位到幅值的转换。

由于相位累加器为N位,相当于把正弦信号在相位上的精度定为N位,所以

分辨率为1/2N。若系统时钟频率为f

c ,频率控制字f为1,则输出频率为f

OUT

=f

C

/2N,

这个频率相当于"基频"。若f为K,则输出频率为:

f out =K* f

C

/2N

当系统输入时钟频率f

C

不变时,输出信号的频率由频率控制字K所决定。由上式可得:

K=2N*f

out /f

C

其中,K为频率字,注意K要取整,有时会有误差。

DSS工作流图:

三、各子模块设计原理

1、分频器

实验中需要有1mhz,1khz,2hz,0.5hz的输入信号,而实验像仅能提供48mhz 的输入信号,故需要分频。实验中拟用74163制作3分频,3片74160分别制作1k分频与500分频,用4片触发器做16分频。

16分频:

3分频:

1k分频:

500分频:

2、加法器

加法器的目的,其一是作为累加器的一部分,将k进行累加,其二是作为频率控制与相位控制电路的一部分,调整输入给rom的12位地址。

3、寄存器

寄存器的目的,是使加法器出来的结果稍作停留,以便传递给rom的地址位或者是回传给加法器成为加数。

4、累加器

相位累加器的组成= N位加法器+N位寄存器

相位累加器的作用:在时钟的作用下,进行相位累加

注意:当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作。

5、rom的制作

作用:进行波形的相位—幅值转换。

原理:

ROM的N位地址

把0O—360O的正弦角度离散成具有2N个样值的序列

ROM的D位数据位

则2N个样值的幅值量化为D位二进制数据

以正弦为例:

首先用VC程序产生rom数据值,程序如下:

#include"stdio.h"

#include"math.h"

int main(int argc,char*argv[])

{

int i;

double s;

for(i=0;i<4096;i++)

{

s=sin(atan(1)*8*i/4096);

printf("%d:%x;\n",i,(int)((s+1)*1023/2));

}

return 0;

}

上述程序编译后,生成一个可执行文件rogen.exe,在DOS命令下执行: rogen>sine.mif

生成sine.mif文件,再加上*.mif文件的头部说明即可,

直接数字式频率合成器

实验八 直接数字式频率合成器(DDS )程序设计与仿真实验 1 实验目的 (1) 学习利用EDA 技术和FPGA 实现直接数字频率合成器的设计。 (2) 掌握使用Quartus Ⅱ原理图输入设计程序。 2 实验仪器 (1)GW48系列SOPC/EDA 实验开发系统 (2)配套计算机及Quartus II 软件 3 实验原理 直接数字频率合成技术,即DDS 技术,是一种新型的频率合成技术和信号产生方法。其电路系统具有较高的频率分辨率,可以实现快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制。 传统的生成正弦波的数字是利用—片ROM 和一片DAC ,再加上地址发生计数器和寄存器即可。在ROM 中,每个地址对应的单元中的内容(数据)都相应于正弦波的离散采样值,ROM 中必须包含完整的正弦波采样值,而且还要注意避免在按地址读取ROM 内容时可能引起的不连续点,避免量化噪音集中于基频的谐波上。时钟频率f clk 输入地址发生计数器和寄存器,地址计数器所选中的ROM 地址的内容被锁入寄存器,寄存器的输出经DAC 恢复成连续信号,即由各个台阶重构的正弦波,若相位精度n 比较大,则重构的正弦波经适当平滑后失真很小。当f clk 发生改变,则DAC 输出的正弦波频率就随之改变,但输出频率的改变仅决定于f clk 的改变。 为了控制输出频率更加方便,可以采用相位累加器,使输出频率正比于时钟频率和相位增量之积。图1所示为采用了相位累加方法的直接数字合成系统,把正弦波在相位上的精度定为n 位,于是分辨率相当于1/2n 。用时钟频率f P 依次读取数字相位圆周上各点,这里数字值作为地址,读出相应的ROM 中的值(正弦波的幅度),然后经DAC 重构正弦波。这里多了一个相位累加器,它的作用是在读取数字相位圆周上各点时可以每隔M 个点读一个数值,M 即力图1中的频率字。这样,DAC 输出的正弦波频率f sin 就等于“基频” f clk 1/2n 的M 倍,即DAC 输出的正弦波的频率满足下式: )2(sin n clk f M f (1) 这里,f clk 是DDS 系统的工作时钟,式(6-1-1)中的n 通常取值在24~32之间,由图1可知,

实现直接数字频率合成器的三种技术方案

实现直接数字频率合成器的三种技术方 案 [日期:2004-12-7] 来源:电子技术应用作者:杭州商学院信息 与电子工程学院姜田华 [字体:大中 小] 摘要:讨论了DDS的工作原理及性能性点,介绍了目前实现DDS常用的三种技术方案,并对各方案的特点作了简单的说明。 关键词:直接数字频率合成器相位累加器信号源现场可编程门限列 1971年,美国学者J.Tierney等人撰写的“A Digital Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给成原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct Digital Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。 1 DDS基本原理及性能特点 DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。 相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将控制字 k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS输出的信号频率。 用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。

基于FPGA的直接数字频率合成器设计

1 JANGSU UNIVERSITY OF TECHNOLOGY FPGA技术实验报告基于FPGA的直接数字频率合成器设计 学院:电气信息工程学院 专业:电子信息工程 班级: 姓名: 学号: 指导教师:戴霞娟、陈海忠 时间: 2015.9.24

1 目录 绪论.......................................................................................... 错误!未定义书签。 一、背景与意义 (2) 二、设计要求与整体设计 (2) 2.1 设计要求 (2) 2.2 数字信号发生器的系统组成 (3) 2.3 DDS技术 (3) 三、硬件电路设计及原理分析 (4) 3.1 硬件电路设计图 (4) 3.2 设计原理 (5) 四、程序模块设计、仿真结果及分析 (5) 4.1顶层模块设计 (6) 4.2分频模块设计 (6) 4.3时钟模块设计 (11) 4.4数据选择模块设计 (12) 4.5正弦波产生模块设计........................................................ 错误!未定义书签。 4.6三角波产生模块设计 (15) 4.7方波产生模块设计............................................................ 错误!未定义书签。 4.8锯齿波模块设计 (18) 五、软硬件调试 (21) 5.1正弦波 (22) 5.2锯齿波 (22) 5.3方波 (23) 5.4三角 (23) 六、调试结果说明及故障分析 (24) 七、心得体会 (24) 八、参考文献 (25) 九、附录 (25)

数字PPL频率合成器的原理与使用

龙源期刊网 https://www.360docs.net/doc/8715942371.html, 数字PPL频率合成器的原理与使用 作者:伊力多斯·艾尔肯 来源:《中国科技博览》2013年第36期 中图分类号:TN742.1 文献标识码:A 文章编号:1009-914X(2013)36-0323-01 中波广播发射机载波频率振荡器能在531KHZ--1602KH频段内提供,1KHZ为间隔的1071个频率点。这些频点的载波振荡频率稳定度和精度都应满足系统的性能要求,并能迅速变换。显然常用的晶体振荡器无法满足上述要求,因为尽管晶体振荡器能提供高稳定的振荡频率,但其频率值单一,只能在很小的频率段内进行微调。频率合成技术则是能够实现上述要求的一种新技术,数字PLL频率合成器是目前应用最广泛的一种频率合成器,它与模拟PLL频率合成器的区别在于数字PLL中采用除法器(分频器),而不是用频率减法器来降低输入鉴相器频 率的。由于分频器可以很方便的用数字电路来实现,而且还具有可储存可变换的功能。因此它比一般的模拟PLL频率合成器更方便、更灵活。此外,数字电路易于集成和超小型化。 PLL即相位锁定环路,它是自动控制两振荡信号频率相等和相位同步的闭环系统,频率合成是指用可变分频器的方法将一个(或多个)基准频率信号转换为频率按比例降低或升高的另一个(或多个)所需频率信号的技术,采用PLL技术的频率合成器称为锁相环路频率合成 器,图(1)所示为数字PLL合成器的原理框图。它主要有鉴相器(PD),压控振荡器(VCO),基准晶体振荡器,基准分频器(1/R),前置分频器(1/K),可编程分频器也叫程控分频器(1/N),低通滤波器(LPF)等组成。可编程分频器的分频系数N由二进制码Po---Pn制定(如图1)。 其中鉴相器(PD)是完成压控振荡器(VCO)的输出信号U0(t),经前置分频和程控分频的信号Uf(T)与输入信号Ui(t)的相位比较,得到误差相位Φe(t)=Φf(t)-Φi(t),产生一个输出电压Ud(t),这个电压的大小直接反映两个信号相位差的大小,电压的极性反应输入信号Ui(t)超前或滞后于Uf(t)的相对相位关系。由此可见,PD在环路中是用来完成相位差电压转换作用,其输出误差电压是瞬间相位的函数。低通滤波器(LPF)滤除Ud (t)中的高频分量与噪声成分,得到控制信号Uc(t),压控振荡器(VCO)受Uc(t)控

数字频率合成器的外文翻译

英文原文 Modulating Direct Digital Synthesizer In the pursuit of more complex phase continuous modulation techniques, the control of the output waveform becomes increasingly more difficult with analog circuitry. In these designs, using a non-linear digital design eliminates the need for circuit board adjustments over yield and temperature. A digital design that meets these goals is a Direct Digital Synthesizer DDS. A DDS system simply takes a constant reference clock input and divides it down a to a specified output frequency digitally quantized or sampled at the reference clock frequency. This form of frequency control makes DDS systems ideal for systems that require precise frequency sweeps such as radar chirps or fast frequency hoppers. With control of the frequency output derived from the digital input word, DDS systems can be used as a PLL allowing precise frequency changes phase continuously. As will be shown, DDS systems can also be designed to control the phase of the output carrier using a digital phase word input. With digital control over the carrier phase, a high spectral density phase modulated carrier can easily be generated. This article is intended to give the reader a basic understanding of a DDS design, and an understanding of the spurious output response. This article will also present a sample design running at 45MHz in a high speed field programmable gate array from QuickLogic. A basic DDS system consists of a numerically controlled oscillator (NCO) used to generate the output carrier wave, and a digital to analog converter (DAC) used to take the digital sinusoidal word from the NCO and generate a sampled analog carrier. Since the DAC output is sampled at the reference clock frequency, a wave form smoothing low pass filter is typically used to eliminate alias components. Figure 1 is a basic block diagram of a typical DDS system design.The generation of the output carrier from the reference sample clock input is performed by the NCO. The basic components of the NCO are a phase accumulator and a sinusoidal ROM lookup table. An optional phase modulator can also be include in the NCO design. This phase modulator will add phase offset to the output of the phase accumulator just before the ROM lookup table. This will enhance the DDS system design by adding the

直接数字频率合成知识点汇总(原理_组成_优缺点_实现)

直接数字频率合成知识点汇总(原理_组成_优缺点_实现) 直接数字频率合概述DDS同DSP(数字信号处理)一样,也是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。DDS 是从相位概念出发直接合成所需要波形的一种新的频率合成技术。 直接数字频率合成是一种新的频率合成技术和信号产生的方法,具有超高速的频率转换时间、极高的频率分辨率分辨率和较低的相位噪声,在频率改变与调频时,DDS能够保持相位的连续,因此很容易实现频率、相位和幅度调制。此外,DDS技术大部分是基于数字电路技术的,具有可编程控制的突出优点。因此,这种信号产生技术得到了越来越广泛的应用,很多厂家已经生产出了DDS专用芯片,这种器件成为当今电子系统及设各中频率源的首选器件。 直接数字频率合成原理工作过程为: 1、将存于数表中的数字波形,经数模转换器D/A,形成模拟量波形。 2、两种方法可以改变输出信号的频率: (1)改变查表寻址的时钟CLOCK的频率,可以改变输出波形的频率。 (2)、改变寻址的步长来改变输出信号的频率.DDS即采用此法。步长即为对数字波形查表的相位增量。由累加器对相位增量进行累加,累加器的值作为查表地址。 3、D/A输出的阶梯形波形,经低通(带通)滤波,成为质量符合需要的模拟波形。 直接数字频率合成系统的构成直接数字频率合成主要由标准参考频率源、相位累加器、波形存储器、数/模转换器、低通平滑滤波器等构成。其中,参考频率源一般是一个高稳定度的晶体振荡器,其输出信号用于DDS中各部件同步工作。DDS的实质是对相位进行可控等间隔的采样。 直接数字频率合成优缺点优点:(1)输出频率相对带宽较宽 输出频率带宽为50%fs(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%fs。 (2)频率转换时间短

锁相环调频和解调实验频率合成器实验

精心整理 实验11锁相调频与鉴频实验 一、实验目的 1. 掌握锁相环的基本概念。 2. 了解集成电路CD4046的内部结构和工作原理。 3. 掌握由集成锁相环电路组成的频率调制电路/解调电路的工作原理。 1. 2. 1. 2. 3. 4. 5. (1图11-1LF )和图11-1锁相环的基本组成 ① 压控振荡器(VCO ) VCO 是本控制系统的控制对象,被控参数通常是其振荡频率,控制信号为加在VCO 上的电压。所谓压控振荡器就是振荡频率受输入电压控制的振荡器。 ② 鉴相器(PD ) PD 是一个相位比较器,用来检测输出信号0V (t )与输入信号i V (t )之间的相位差θ(t),并把θ(t)转化为电压)(t V d 输出,)(t V d 称为误差电压,通常)(t V d 作为一直流分量或一低频交流量。

③环路滤波器(LF) LF作为一低通滤波电路,其作用是滤除因PD的非线性而在)(t V d 中产生的无用组 合频率分量及干扰,产生一个只反映θ(t)大小的控制信号)(t V C 。 4046锁相环芯片包含鉴相器(相位比较器)和压控振荡器两部分,而环路滤波器由外接阻容元件构成。 (2)锁相环锁相原理 锁相环是一种以消除频率误差为目的反馈控制电路,它的基本原理是利用相位误差电压去消除频率误差。按照反馈控制原理,如果由于某种原因使VCO的频率发生 变化使得与输入频率不相等,这必将使)(t V O 与)(t V i 的相位差θ(t)发生变化,该相位 差经过PD转换成误差电压)(t V d 。此误差电压经过LF滤波后得到)(t V c ,由)(t V c 去改变 VCO的振荡频率,使其趋近于输入信号的频率,最后达到相等。环路达到最后的这种 ),因此 (3 化) 锁相环(4046)的结构框图及引出端功能图如下图所示。 1.用锁相环(集成)构成的调频/解调(鉴频)电路 (1).锁相环调频原理 注:由于载波信号频率相对于调制信号频率高的多,故载波信号频率称为所谓的高频(只是相对而言),而调制信号频率则相对应的称为低频。 将调制信号加到压控振荡器(VCO)的控制端,使压控振荡器的输出频率(在自振频

直接数字频率合成器开题报告

毕业设计(论文)开题报告 题目基于FPGA的直接数字频率合成专业名称通信工程 班级学号09042138 学生姓名周忠 指导教师刘敏 填表日期2013 年 1 月8 日

一、选题的依据及意义: 直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。其电路系统具有较高的频率分辨率,可以实现快速的频率切换(<20ns),频率分辨率高(0.01HZ),频率稳定度高,输出信号的频率和相位可以快速程控切换,输出相位可连续,可编程以及灵活性大等优点。DDS技术很容易实现频率、相位和幅度的数控调制,广泛用于接收本振、信号发生器、仪器、通信系统、雷达系统等,尤其适合调频无线通信系统 本课题使用可编程器件实现直接数字频率合成设计,它比传统的数字频率合成方式有着显著的优越性,与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 二、国内外研究概况及发展趋势(含文献综述): 直接数字频率合成(DDS)技术是第三代频率合成技术。20世纪70年代以来,随着数字集成电路和电子技术的发展,出现了一种新的合成方法——直接数字频率合成。它从相位的概念出发进行频率合成,采用了数字采样存储技术,具有精确的相位,频率分辨率,快速的转换时间等突出优点,是频率合成技术的新一代技术。直接数字频率合成作为新一代数字频率技术发展迅速,并显示了很大的优越性,已经在军事和民用领域得到广泛的应用,例如在雷达(捷变频雷达、有源相控雷达、低截获概率雷达)、通信(跳频通信、扩频通信)、电子对抗(干扰和反干扰)、仪器和仪表(各种合成信号源)、任意波形发生器、产品测试、冲击和振动、医学等方面的应用。 DDS技术作为一项具有广泛前景和生命力的频率合成技术,越来越受到人们的重视。随着微电子技术的飞速发展,国外一些大公司Qualcomm、ADI等竞相推出DDS芯片,来满足设计人员的要求。许多性能优良的DDS产品不断的推向市场。 Qualcomm公司推出了DDS系列Q2220Q2230等其中Q2368的时钟频率

DDS 直接数字频率合成器 实验报告(DOC)

直接数字频率合成器(DDS) 实验报告 课程名称电类综合实验 实验名称直接数字频率合成器设计 实验日期2015.6.1—2013.6.4 学生专业测试计量技术及仪器 学生学号114101002268 学生姓名陈静 实验室名称基础实验楼237 教师姓名花汉兵 成绩

摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS 或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本篇报告主要介绍设计完成直接数字频率合成器DDS的过程。其输出频率及相位均可控制,且能输出正弦波、余弦波、方波、锯齿波等五种波形,经过转换后在示波器上显示。经控制能够实现保持、清零功能。除此之外,还能同时显示出频率控制字、相位控制字和输出频率的值。实验要求分析整个电路的工作原理,并分别说明了各子模块的设计原理,依据各模块之间的逻辑关系,将各电路整合到一块,形成一个总体电路。本实验在Quartus Ⅱ环境下进行设计,并下载到SmartSOPC实验系统中进行硬件测试。最终对实验结果进行分析并总结出在实验过程中出现的问题以及提出解决方案。 关键词:Quartus Ⅱ直接数字频率合成器波形频率相位调节 Abstract The Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report introduces the design to the completion of the process of direct digital frequency synthesizer DDS. The output frequency and phase can be controlled, and can output sine, cosine, triangle wave, square wave, sawtooth wave, which are displayed on the oscilloscope after conversation. Can be achieved by the control to maintain clear function. Further can simultaneously display the value of the frequency, the phase control word and the output frequency. The experimental design in the Quartus Ⅱenvironment, the last hardware test download to SmartSOPC experimental system. The final results will be analyzed, the matter will be put forward and the settling plan can be given at last. Key words:Quartus ⅡDirect Digital Frequency Synthesizer waveform Frequency and phase adjustment

直接数字频率合成器

电子线路课程设计直接数字频率合成器 学号: 姓名: 2011年11月

摘要 本篇论文主要讲了用eda设计dds。用quartus 软件模拟仿真电路,并下载到芯片。使电路能输出正余弦波,并可调节频率和相位。并在这基础上进行一部分扩展,如能输入矩形三角形波。 关键词eda设计 dds quartus Abstract: This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. This design uses DDS QuartusII 7.0 software design, and downloads SmartSOPC experimental system hardware. Key word eda design dds quartus

目录 设计要求 (4) 方案论证 (4) 各子模块设计原理 (6) 调试,仿真及下载 (12) 结论 (13)

一.设计要求 基本要求: 1、利用QuartusII软件和SmartSOPC实验箱实现DDS的设计; 2、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的RAM 实现,RAM结构配置成212×10类型; 3、具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统时钟分频得到; 4、系统具有使能功能; 5、利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形; 6、过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证; 提高部分: 1、通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键后有消颤电路) 2、能够同时输出正余弦两路正交信号; 3、在数码管上显示生成的波形频率; 4、充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度; 5、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器; 6、基于DDS的AM调制器的设计; 7、自己添加其他功能。 二、方案论证 直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基

第4章 数字频率合成器的设计分析

第4章数字频率合成器的设计 随着通信、雷达、宇航和遥控遥测技术的不断发展,对频率源的频率稳定度、频谱纯度、频率范围和输出频率的个数提出越来越高的要求。为了提高频率稳定度,经常采用晶体振荡器等方法来解决,但它不能满足频率个数多的要求,因此,目前大量采用频率合成技术。 频率合成是通信、测量系统中常用的一种技术,它是将一个或若干个高稳定度和高准确度的参考频率经过各种处理技术生成具有同样稳定度和准确度的大量离散频率的技术。频率合成的方法很多,可分为直接式频率合成器、间接式频率合成器、直接式数字频率合成器( DDS)。直接合成法是通过倍频器、分频器、混频器对频率进行加、减、乘、除运算,得到各种所需频率。该方法频率转换时间快(小于100ns),但是体积大、功耗大,目前已基本不被采用。 锁相式频率合成器是利用锁相环(PLL)的窄带跟踪特性来得到不同的频率。该方法结构简化、便于集成,且频谱纯度高,目前使用比较广泛。 直接数字频率合成器(Direct Digital Frequency Synthesis简称:DDS)是一种全数字化的频率合成器,由相位累加器、波形ROM,D/A转换器和低通滤波器构成,DDS技术是一种新的频率合成方法,它具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等优点。但合成信号频率较低、频谱不纯、输出杂散等。 这里将重点研究锁相式频率合成器。本章采用锁相环,进行频率

合成器的设计与制作。 4.1 设计任务与要求 1.设计任务:利用锁相环,进行频率合成器的设计与制作 2.设计指标: (1)要求频率合成器输出的频率范围f0为1kHz~99kHz; (2)频率间隔 f 为1kHz; (3)基准频率采用晶体振荡频率,要求用数字电路设计,频率稳定度应优于10-4; (4)数字显示频率; (5)频率调节采用计数方式。 3.设计要求: (1)要求设计出数字锁相式频率合成器的完整电路。 (2)数字锁相式频率合成器的各部分参数计算和器件选择。 (3)画出锁相式数字频率合成器的原理方框图、电路图 (4)数字锁相式频率合成器的仿真与调试。 4.制作要求: 自行装配和调试,并能发现问题解决问题。测试主要参数:包括晶体振荡器输出频率;1/M分频器输出频率;1/N可编程分频器的测试;锁相环的捕捉带和同步带测试。 5.课程设计报告要求。 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 6.答辩要求

DDS数字频率合成器实验报告

DDS数字频率合成器 实验报告

摘要 直接数字频率合成器是一种基于全数字技术,从相位出发直接合成所需波形的一种频率合成技术,具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,本次实验中,利用QuartusII软件设计一个可控制频率,相位的可输出正弦和余弦的直接数字频率合成器,要求分析整个电路的工作原理,并分别说明各子模块的设计原理,整合各电路,形成总体电路。完成调试、仿真、编程下载后,分析最终结果,总结问题并寻求解决方法 关键词:直接数字频率合成器累加控制频率相位波形 Abstract Direct digital frequency synthesizer is a full digital technology based on afrequency synthesis technology, the required waveform from the phase of thedirect synthesis, has the advantages of low cost, low power consumption, high resolution and fast switching time and other advantages, is widely used in thefield of electrical and electronic equipment, In this experiment, a design can control the frequency by using QuartusII software, the direct digital frequency synthesizer phase can output sine and cosine, the working principle of the whole circuit requirements analysis, and explains the design principle of each module, integration of the circuit, the formation of the overall circuit. Finished debugging, simulation, programming,analysis result, summarizes the problems and seek solutions Key word: Direct Digital Frequency Synthesizer accumulation control frequent phase position waveform

dds直接数字频率合成器(优秀+)

电子线路课程设计论文直接数字频率合成器 南京理工大学 电子线路课程设计 直接数字频率合成器 D D S (题名和副题名) (学号) 指导教师姓名姜萍老师 学院电子工程与光电技术学院 年级2012级专业名称通信工程 论文提交日期2014.12

Abstract 电子线路课程设计论文 摘要 直接数字信号合成器(DDS)是一种从相位概念出发直接合成所需要波形的新的频率合成技术。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点。 本文使用DDS的方法设计一个任意频率的正弦信号发生器,具有频率控制、相位控制、测频、切换波形、动态显示、使能开关以及AM调制等功能。利用QuartusII7.0中VHDL语言完成计算机设计、仿真等工作,然后使用由Altera公司开发的Cyclone III 系列EP3C25F324C8实验箱实现电路,用示波器观察输出波形。 本文使用模块化的设计理念,将整体电路分为9个子模块设计,分别为:分频模块、频率预置与调节模块、频率累加寄存模块、相位预置与调节模块、相位累加寄存模块、sin函数波形存储模块、余弦波方波三角波锯齿波波形选择模块、测频与译码显示模块、AM调制模块。 其后,本文给出了本实验的计算机仿真图与示波器输出图,并进行结果分析。最后在文末给出了本实验所设计的电路的使用说明书。 关键词:直接数字信号合成器、DDS、AM调制、VHDL、测频

电子线路课程设计论文直接数字频率合成器 Abstract Direct digital synthesizer (DDS) is a new technology of frequency synthesis ,which comes from the concept of the phase, to directly synthetize the required waveform . Compared with the traditional frequency synthesizer, DDS has the advantages of lower cost, lower power consumption, higher resolution and faster switching time etc.. DDS method is used to design a direct digital synthesizer to synthetize the sin function of any frequency in this paper, with functions of frequency control, phase control frequency measurement, waveform switching, dynamic display, switch enable and AM modulation. Using VHDL language in the QuartusII7.0, we complete the design, simulation and other works by computer, and then use the EP3C25F324C8 experimental box of Cyclone III series developed by the Altera to implement the design, and finally observe the output waveform in oscilloscope. In this paper, the modular design concept is used, and the whole circuit is divided into 9 sub module design, respectively is: frequency division module, frequency adjusting module, frequency cumulative and register module, phase presetting and adjusting module, phase cumulative and register module, sin function waveform memory module, cos wave, square wave, triangle wave, sawtooth waveform selection module, frequency measurement and decoding display module, the AM modulation module. Then, the computer simulation diagram and the output of the oscilloscope graphs of this experiment is given in this paper, followed by the results analysis. Finally, we give the experimental instructions of the circuit design at the end of the paper. Keywords: direct digital synthesizer, DDS, AM modulation, VHDL, frequency measurement

直接数字频率合成器设计

电子线路课程设计 直接数字频率合成器 摘要 本篇报告主要介绍了用EDA设计完成直接数字频率合成器DDS的过程。该直接数字频率合成器输出的频率及相位均可控制,且能输出正弦、余弦、三角波、锯齿波、方波五种波形,经过转换之后还能在示波器上显示,在控制电路的作用下能实现保持、清零功能,另外还能同时显示输出频率、相位控制字、频率控制字。本设计利用QuartusII 7.0软件进行DDS的设计,最后下载到SmartSOPC 实验系统中进行硬件测试。 Abstract This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. The direct digital frequency synthesis of the output frequency and phase can control, and can output sine, cosine, triangle wave, sawtooth, square waveform five, after conversion after also displayed on the oscilloscope, in the role of the control circuit can be Implementation maintained cleared function, and also shows the output frequency, phase control characters, frequency control word. This design uses DDS QuartusII 5.0 software design, the final download SmartSOPC experimental system hardware testing. 关键词 EDA设计、直接数字频率合成器DDS、QuartusII 5.0软件、SmartSOPC实验系统 Key words EDA design,Direct Digital Synthesizer DDS, QuartusII 5.0software, SmartSOPC experiment system

直接数字频率合成器预习报告2012年版

预习报告 直接数字频率合成器(DDS)设计 指导老师﹕__________ 班级:___________ 姓名:___________ 学号:___________

直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。是一种新型的频率合成技术.具有相对带宽大,频率转换时间短,分辨力高,相位连续性好等优点,很容易实现频率,相位和幅度的数控调制,广泛应用于通讯领域.实验要求利

用QuartusII软件和SmartSOPC实验箱设计一个频率及相位均可控制的具有正弦和余弦输出的直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS或DDS)。 DDS主要由频率预置与调节电路、累加器、波形存储器、D/A转换器、低通滤波器构成。其组成原理如下图所示: 图2.1 DDS基本结构图 (1)频率预置与调节电路 作用:实现频率控制量的输入; 不变量K被称为相位增量,也叫频率控制字。频率控制字的值可以由EDA实验系统提供的若干个开关直接输入,也可以由一个外部开关控制计数器产生相应的频率控制字。 (2)累加器 图2.2 累加器原理图

累加器原理图如图2.2所示,它由N 位加法器N 位寄存器构成。每来一个时钟,加法器就将频率控制字K 与累加相位数据相加,相加的结果有反馈送至寄存器的数据输出端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位相加器在每一个时脉冲输入时,把频率控制字累加一次,相位累加器的溢出频率就是DDS 输出的信号频率。由于相位累加器为N 位,相当于把正弦信号在相位上的精度定为N 位(N 的取值范围一般为24-32),所以分辨率为1/ 2N ,若系统基准时钟频率为c f ,频率控制字K 为1,则DDS 输出最小频率为o f =c f / 2N ;DDS 输出的最高频率由 Nyquist 采样定理决定,即c f /2(K 的最大值为2N-1);若K 为B ,则输出频率为:o f =B ×c f / 2N 。 (3)波形存储器 图2.3 波形存储器 用相位累加器输出的数据作为波形存储器(ROM )相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。N 位的寻址ROM 相当于把00~0360的正弦信号离散成具有2N 个样值的序列,若波形ROM 有D 位数据位,则2N 个样值的幅值以D 位二进制数值固化在ROM 中,按照地址的不同可以输出相应的正弦信号的幅值。 选取ROM 的地址(即相位累加器的输出数据)时,可以间隔选项,相位寄存器输出的位数N 一般取10-16位,这种载取方法称为截断式用法,以减少ROM

dds直接数字频率合成器电类实验报告

电子线路课程设计直接数字频率合成器 姓名: 学号: 院系:机械学院 指导老师:花汉兵、姜萍 完成时间:2015年06月10号

摘要: 直接数字频率合成器(Direct Digital Frequency Synthesizer简称DDFS或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。 本报告探究了数字频率合成器的构成,使用了QuartusII软件和SmartSOPC实验箱,借助可编程逻辑器件,实现了实验的预期目的,可以进行交换显示,测频,四波形(方波,正弦波,锯齿波,三角波)切换。详细介绍了电路实现的基本原理以及电路的仿真、编译过程。 关键词:直接数字频率合成器控制QuartusII

Abstract: Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report describes the Digital Frequency Synthesizer components, the use of the software and SmartSOPC QuartusII experimental box, with programmable logic device to achieve the desired goal of the experiment, can be exchanged, frequency measurement, the four waveforms (square wave, sine wave , sawtooth, triangle wave) switches. Details of the circuit as well as the basic principles of circuit simulation, and the compiler process. Key word:Direct Digital Frequency Synthesizer control QuartusII

相关文档
最新文档