学号姓名译码器实验报告

学号姓名译码器实验报告
学号姓名译码器实验报告

电子科技大学

学生姓名:

学号:

指导教师:黄敏

实验地点:主楼C2-514

实验时间:(1班)

一、实验室名称:虚拟仪器实验室

二、实验项目名称:3-8 译码器实验

三、实验学时:4学时

四、实验原理

开发板上共四个按键:SW3~SW6,其中SW3 为总开关;SW4、SW5、SW6 作为三个译码输入。本实验 3-8 译码器所有的接口如下。

计算机(安装Quartus II & 软件平台);

2. Cyclone IV FPGA开发板一套(带Altera USB-Blaster下载器)。

八、实验步骤

(1)新建工程,设置器件属性:在Quartus II 平台中,新建一个工程(注意命名规范),在“Family”中选择“Cyclone IV E”系

列,“Available device”中选择具体型号“EP4CE6E22C8”,设置

好器件属性。在 EDA Tool Settings 页面中,可以设置工程各个

开发环节中需要用到的第三方(Altera 公司以外)EDA 工具,我

们只需要设置“Simulation”工具为“ModelSim-Altera”,Format

为“Verilog HDL”即可,其他工具不涉及,因此都默认为

(详见实验指导书)

(2)Verilog源码文件创建与编辑:点击菜单栏的“File→New…”,然后弹出如图所示的新建文件窗口,在这里我们可以选择各种需

要的设计文件格式。可以作为工程顶层设计文件的格式主要在

Design Files 类别下,我们选择 Verilog HDL File(或者 VHDL

File)并单击 OK完成文件创建。将新建的文件保存后通过菜单栏

“Project→Add/Remove Files in Project”将刚刚创建的文件

加入新建的工程中,点击“Add”加入后选择OK按钮。(详见实验

指导书)

(3)Modelsim仿真验证:将工程编译,无误后,采用第三方EDA仿真工具Modelsim进行仿真。1)设置路径:点击Tools →

Options…”,进入选项卡“General EDA Tool Options”,设置

“Modelsim-Altera”后面的路径,即我们安装 Modelsim 时的路

径;2)完成测试脚本创建与编辑;3)测试脚本关联设置;4)调

用Modelsim进行功能仿真和时序仿真。(详见实验指导书)(4)管脚分配:根据文档“SF-CY4 FPGA学习板原理图”对3-8译码器的进行引脚分配。(详见实验指导书)

(5)综合、实现与配置文件产生综合。(详见实验指导书)

(6)FPGA在线下载配置:1)连接开发板并给开发板供电;2)开启Programmer 界面;3)识别 USB-Blaster;4)执行在线下载操作。

(详见实验指导书)

(7)拨动开发板对应按钮,观察输出是否符合预期。

(8)给开发板断电,清理器件,实验结束。

九、实验数据及结果分析

用Verilog HDL语言编写3-8译码器源码如下:

module txt1(

input ext_clk_25m,

input ext_rst_n,

input [3:0] switch,

output reg[7:0] led

);

always@(posedge ext_clk_25m or negedge ext_rst_n) if(!ext_rst_n)

led<=8'hff;

else if(switch[0])

led<=8'hff;

else begin

case(switch[3:1])

3'b111:led<=8'b1111_1110;

3'b110:led<=8'b1111_1101;

3'b101:led<=8'b1111_1011;

3'b100:led<=8'b1111_0111;

3'b011:led<=8'b1110_1111;

3'b010:led<=8'b1101_1111;

3'b001:led<=8'b1011_1111;

3'b000:led<=8'b0111_1111;

default:;

endcase

end

endmodule

TestBench源码如下:

parameter PERIOD=40;

always begin

#(PERIOD/2) ext_clk_25m=0;

#(PERIOD/2) ext_clk_25m=1;

end

initial begin

ext_rst_n=0;

switch=4'b0000;

#10000;

ext_rst_n=1;

end

always #100 switch[0]=~switch[1];

always #40 switch[3:1]=switch[3:1]+1;

提供仿真波形并对波形进行文字说明:

功能仿真总体波形图1(波形上同时体现switch[0] = 1和switch[0] = 0两种情况):

功能仿真波形图2(switch[0] = 1)

当switch[0] = 1时,无论输入switch[3:1]如何变化,输出

led始终保持不变,即所有的灯不亮。

功能仿真波形图3(switch[0] = 0)

当switch[0] = 0时,输出状态随着switch[3:1]的变化而变

化,需要覆盖到所有led都曾点亮的情况。

时序仿真总体波形图(波形上需要体现延时情况)

根据原理图完成管脚分配(提供管脚分配截图)

输入端口:时钟复位/按键SW3~ SW6:输出端口:

图 1 管脚分配对应关系图

根据上面原理图对应关系,可以得到对应得到输入/输出端口对应的管脚,双击每个信号对应的Location部分,输入对应的管脚即可完成分配。分配好的如下图所示:

图 2 管脚分配图

FPGA在线下载配置(在开发板上观察实验结果)

十、实验结论

通过本次实验设计并仿真了3-8译码器,且在板子上成功进行了验证!

十一、实验中遇到的问题及相应的解决办法

(1)程序BUG较多

答:写程序时应多注意细节

报告评分:

指导教师签字:

试卷编号班级学号姓名

说明:“阅卷总分”由阅卷人填写;“复核总分”由复核人填写,复核总分不得有改动。 一、选择题:(每小题3分,共30分) 1、.如图所示,圆型回路L 内有电流1I 、2I ,回路外有电流3I ,均在真空中,P 为L 上的点, 则( )。 (A ) 012()L d I I μ?=-? B l (B )0123()L d I I I μ?=++?B l (C ) 012 3 ()L d I I I μ?=+-? B l (D ) 1 2() L d I I μ?=+?B l 2、某宇宙飞船以0.8c 的速度离开地球,若地球上接收到它发出的两个信号之间的时间间隔为10s , 则宇航员测出的相应的时间间隔为( ) (A )6s (B )8s (C )10s (D )16.7s (3分) 3、 磁场的高斯定理说明了稳恒磁场的某些性质。下列说法正确的是 ( ) (A )磁场力是保守力; (B )磁场是非保守力场; (C) 磁场是无源场; (D)磁感应线不相交。 4、两个均质圆盘A 和B 的密度分别为A ρ和B ρ,若B A ρρ< ,但两圆盘的质量与厚度相同, 如两盘对通过盘心垂直于盘面的转动惯量各为A J 和B J ,则( ) (A )A B J J > (B )A B J J < (C )A B J J = (D )A J 和B J 哪个大,不能确定 5、假设卫星环绕地球中心作椭圆运动,则在运动过程中,卫星对地球中心的( ) (A) 角动量守恒,动能守恒 (B) 角动量守恒,机械能守恒 (C) 角动量不守恒,机械能守恒 (D) 角动量不守恒,动量也不守恒 (E) 角动量守恒,动量也守恒 6、在一个点电荷+Q 的电场中,一个检验电荷+q ,从A 点分别移到B ,C ,D 点,B ,C ,D 点 在+Q 为圆心的圆周上,如图所示,则电场力做功是( ) (A ) 从A 到B 电场力做功最大。 (B ) 从A 到C 电场力做功最大。 (C ) 从A 到D 电场力做功最大。 B (D ) 电场力做功一样大。 7、一交变磁场被限制在一半径为R 的圆柱体中,在柱体内、外分别有两个静止的点电荷A q 和B q ,则 ( ) A A q 和B q 都受力 B A q 和B q 都不受力 C A q 受力,B q 不受力 D A q 不受力,B q 受力 8、实验室测得粒子的总能量是其静止能量的K 倍, 则其相对实验室的运动速度为( ) (A) 1-K c (B) 2 1K K c - (C )1 2-K K c (D) 1 +K K c 9、如图所示,一点电荷q 位于一边长为a 的立方体的 q A 顶点A ,则通过立方体B 表面的电通量各为( ) B (A ) 6q ε (B )012εq (C )024εq (D )0εq

单片机原理及接口技术实验报告

单片机原理及接口技术 实验报告 任课教师 班级 姓名 日期

实验一构建单片机最小系统和实验环境熟悉 一、单片机最小系统的组成原理图 二、单片机的工作原理: 1.运算器 运算器包括算术逻辑运算单元ALU、累加器ACC、寄存器B、暂存器TMP、程序状态字寄存器PSW、十进制调整电路等。它能实现数据的算术逻辑运算、位变量处理和数据传送操作。 (1)算术逻辑单元ALU ALU在控制器根据指令发出的内部信号控制下,对8位二进制数据进行加、减、乘、除运算和逻辑与、或、非、异或、清零等运算。它具有很强的判跳、转移、丰富的数据传送、提供存放中间结果以及常用数据寄存器的功能。MCS-51中位处理具有位处理功能,特别适

用于实时逻辑控制。 (2)累加器ACC 累加器ACC是8位寄存器,是最常用的专用寄存器,它既可存放操作数,又可存放运算的中间结果。MCS—51系列单片机中许多指令的操作数来自累加器ACC。累加器非常繁忙,在与外部存储器或I/O接口进行数据传送时,都要经过A来完成。 (3)寄存器B 寄存器B是8位寄存器,主要用于乘、除运算。乘法运算时,B中存放乘数,乘法操作后,高8位结果存于B寄存器中。除法运算时,B中存放除数,除法操作后,余数存于寄存器B中。寄存器B也可作为一般的寄存器用。 (4)程序状态字PSW 程序状态字是8位寄存器,用于指示程序运行状态信息。其中有些位是根据程序执行结果由硬件自动设置的,而有些位可由用户通过指令方法设定。PSW中各标志位名称及定义如下: CY():进(借)位标志位,也是位处理器的位累加器C。在加减运算中,若操作结果的最高位有进位或有借位时,CY由硬件自动置1,否则清“0”。在位操作中,CY作为位累加器C 使用,参于进行位传送、位与、位或等位操作。另外某些控制转移类指令也会影响CY位状态(第三章讨论)。 AC():辅助进(借)位标志位。在加减运算中,当操作结果的低四位向高四位进位或借位时此标志位由硬件自动置1,否则清“0”。 F0():用户标志位,由用户通过软件设定,决定程序的执行方式。 RS1(),RS0():寄存器组选择位。用于设定当前通用寄存器组的组,其对应关系如下:

译码器实验报告

译码器实验报告 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所

代表的函数对应于n个输入变量的最小项。 三、实验设备与器件 1.+5V直流电源 2.单次脉冲源 3.逻辑电平开关 4.74LS138 四、实验内容及步骤 1.74LS138译码器逻辑功能测试 将译码器使能端STA、STB、STC与地址端A2、A1、A0分别接到逻辑电平开关输入口,八个输出端Y7…Y0依次连接在十六位逻辑电平显示上,拨动逻辑电平开关,逐项测试74LS138的逻辑功能。2.实验箱电源连接正确,电路自查确定无误后,电路验证还是不正确的情况下进行下面的排错检查:

1)检查芯片的电源和地的电平是否正确。 2)芯片的使能端连接的电平正确。 3)从逻辑电平开关输入信号是否正确。 4)从输出端按逻辑功能状态往前一步一步排查。 3.两片3线-8线译码器74LS138扩展为4线-16线译码器 用两片74LS138组合成一个四线-十六线译码器进行实验,并分析逻辑功能。

学号+姓名 (3)

河南工程学院《软件综合运用》课程设计题目:智能优化算法 姓名:王朋成 学号:201411003116 学院:理学院 专业班级:数学与应用数学1441班 专业课程:软件综合运用设计 指导教师:刘凯 2017年9月8日

目录 课题1.最小化加权总完工时间和问题的计算 (1) 1.1.设计目的 (1) 1.2.问题介绍 (1) 1.3.最优算法 (1) 1.4.算法原理 (1) 1.5.程序代码 (2) 1.6.运行结果 (4) 课题2:最小化最大延误时间问题的计算 (6) 2.1.设计目的 (6) 2.2.问题介绍 (6) 2.3.最优算法 (6) 2.4.算法原理 (7) 2.5.程序代码 (7) 2.6.运行结果 (9) 心得体会 (12)

实验1.最小化加权总完工时间和问题的计算 1.1.设计目的 通过课程设计,熟练掌握最小化加权完工时间和问题的算法思想、程序设计及结果输出。对MATLAB 、JAVA 等编程语言与编程环境进行复习和强化,最后进行最优排序和目标函数的输出。本次设计本质上就是寻求一个组合最优化问题的最优解。并通过本次的课程设计熟练掌握WSPT 算法的基本思想,以此解决实际问题。 1.2.问题介绍 问题描述: 本次试验所计算问题为1j j w c ∑,该问题即为:有n 个工件),...1(j n j T =,工件j T 的加工时间为j P ,权重为j W ,工件j T 在机器上的完工时间为j C 。本问题即确定工件集 ),...1(j n j T =在机器上的加工顺序或加工位置,使得目标函数∑j j c w 达到最小。 问题背景: 考虑排序问题1||∑,其中n=5 P=(12,4,7,11,6),w=(4,2,5,5,6) 由wspt 规则,可得最优排序为[],加权总完工时间为∑=435 1.3.最优算法 排列 c= (c ( 1) ,c ( 2) ,… ,c (n) ) 是问题 1 ∑ wjCj 的最优排列的充分必要条件是 WSPT 规则成立 ,即成立 ( 1)/ ( 1) ≤ ( 2)/ ( 2) ≤… ≤ (n) / (n) . 1.4.算法原理 排序问题是一类重要的组合优化问题,现在已经成为运筹学研究的一个非常活跃的分支。单机排序问题的一个重要目标函数式加权评论流时间。由于极小化加权平均流时间等价于极小化加权完工总时间,因此下面仅以加权总完工时间为

微机原理简单输入输出实验报告

东南大学 《微机实验及课程设计》 实验报告 实验四(1)简单输入输出 一. 实验目的与内容 (一)实验目的 1)进一步掌握TPC实验装置的基本原理和组成结构; 2)掌握利用I/O指令单步调试检查硬件接口功能,学会利用示波器检测I/O指令执行时总线情况; 3)掌握简单并行输入输出接口的工作原理及使用方法,进一步熟悉掌握输入输出单元的功能

和使用。 (二)实验内容及要求 1、输出接口输出,根据8个发光二极管发光的情况验证编程从键盘输入一个字符或数字,将其ASCII码通过正确性。(输出端口实验必做) 2、用逻辑电平开关预置某个字母的ASCII码,编程输入这个ASCII码,并将其对应字母在屏幕上显示出来。(输入端口实验必做) 3、利用基本实验(1)中的输出锁存电路,设计L0~L7为流水灯,流水间隔时间由软件产生。(输出端口实验) 4、利用基本实验(2)中的输入电路,监测逻辑电平开关K0~K7的变换,当开关状态为全开时,在屏幕上显示提示信息并结束程序。(输入端口实验) 要求: 1、输入输出端口应该可以响应外设的连续变化。 2、输出按ESC键退出;输入按任意键退出。 3、内容3、4比做一题,选做一题 二. 实验基本原理 (一)基本原理 简单并行输出实验:八D触发器74LS273的八个输入端接数据总线D0~D7,从键盘输入一个字符或数字,通过2A8H~输出接口输出该字符或者数字代表的ASCII码,再通过8个发光二极管发光显示二进制数码,从而验证编程以及电路的正确性。 简单并行输入实验:八缓冲器74LS244的八个输出端接数据总线D0~D7,8个输入端分别接逻辑电平开关K0~K7,在逻辑电平开关上预置任意字符的ASCII码,编程将此ASCII码通过2A0H~输入,ASCII码对应字符显示在屏幕上,从而验证编程及电路的正确性。 (二)实验接线图

学校班级学号姓名

2008-2009学年度第二学期 小学二年级数学科第5、6单元测试题 (40分钟完成) 一、我会口算。(16分) 4500-500= 70+80= 5000-4000= 25千克+10千克= 600+1000= 1200-800= 2700-2000= 75千克-32千克= 900+600= 160-90= 500+3000= 6克×8= 400+500= 1000-200= 2100-100= 54千克÷9= 二、万以内数的知识我会做。(共23分,其中第1至4题每空1分,第5至8题每空2分) 1、一个数,从右边起第五位是()位,第三位是()位,千 位是第()位。 2、一千里面有()个百,一万里面有()个千。 3、8603读作(),其中8在()位上,0在()位上。 4、9999这个数从右起第三个9表示9个(),第四个9表示9个() 这是一个()位数。 5、体育馆可以容纳一万人。写数() 天空中有五百八十个气球。写数()。 6、一个四位数,千位上的数是5,十位上的数是4,百位和个位上的数 都是0,这个数是()。 7、由3个千,6个百,5个十组成的数是()。 8、用2、8、0、1这四个数组成一个最大的四位数是(), 组成一个最小的四位数是()。 三、重量单位的知识我会填。(35分,其中第1、3、4题每空1分) 1、(1)一袋盐重500克,()袋盐正好是1千克。 (2)1个2分硬币约重1克,()个2分硬币约重1千克。 1千克 = ()克 (3)4000克 = ()千克 3500克 = ()千克()克 (4)1600克+400克=( )克=( )千克 2、写出重量。(6分) 苹果重克千克千克 3、写出物品的重量单位名称。 (1)一个苹果重约120( ) (2)书包重约3()。 (3)一个大西瓜重4()。 (4)一头牛重420()。 (5)小明体重28()。 4、在○里填上“>”、“<”或“=”。 5千克○500克 3千克○3000克 600克○100千克 2500克○5千克 5、判断。(你认为对的在()里打“√”,错打“×”)(12分) (1)一袋奶粉重500克。() (2)一只鸭重3克。() (3)一根跳绳长2厘米。()

计算机组成原理实验报告

《计算机组成原理》 实验报告 实验室名称:S402 任课教师:邹洋 小组成员:王娜任芬 学号:2010212121 2010212119

实验一_HAMMING码 (2) 实验二_乘法器 (7) 实验三_时序部件 (16) 实验四_CPU__算术逻辑单元实验 (24) 实验五_CPU__指令译码器实验 (32) 实验六_CPU_微程序控制器实验1 (43) 实验七_八_CPU实验 (59)

1 编码实验:Hamming码 1.1、实验目的 1、对容错技术有初步了解,理解掌握海明码的原理 2、掌握海明码的编码以及校验方法 1.2、实验原理 海明码是由Richard Hamming于1950年提出的,目前是被广泛采用的很有效的校验编码。它的特点是只要增加少数几个校验位,就能检测出多位出错,并能自动纠错。 Hamming码的实现原理是在数据中加入几个校验位,将数据代码的码距比较均匀的拉大,并把数据的每一个二进制位分配在几个奇偶校验组中。当某一位出错后,就会引起有关的几个校验位的值发生变化。这不但可以发现出错,还能指出是哪一位出错,为进一步自动纠错提供了依据。 假设校验位的个数为r,则它能表示2r个信息,用其中的一个信息指出“没有错误”,其余的2r-1个信息指出错误发生在哪一位。然而错误也可能发生在校验位,因此只有k=2r-1-r个信息能用于纠正被传送数据的位数,也就是说要满足关系: 2r≥k+r+1 若要能检测与自动校正一位错,并能发现两位错,此时校验位的位数r和数据位的位数k应满足下述关系:2r-1≥k+r 按上述不等式,可计算出数据位k与校验位r的对应关系,如表1.1所示: 表1.1 数据位k与校验位r的对应关系 k值最小的r值 1~3 4 4~10 5 11~25 6 26~56 7 57~119 8 若海明码的最高位号为m,最低位号为1,即H m H m-1…H2H1,则此海明码的编码规律通常是 1)校验位与数据位之和为m,每个校验位P i在海明码中被分在位号为2i-1的位置上,其余各位为数据位,并按从低向高逐位依次排列的关系分配各数据位。 2)海明码的每一位码H i(包括数据位和校验位本身)由多个校验位校验,其关系是被校验的每一位位号等于校验它的各校验位的位号之和。 3)在增大合法码的码距时,所有码的码距应尽量均匀增大,以保证对所有码的检错能力平衡提高。 下面具体看一下对一个字节进行海明编码的实现过程。 只实现一位纠错两位检错,由前面的表可以看出,8位数据位需要5位校验位,可表示为H13H12…H2H1。 五个校验位P5~P1对应的海明码位号分别为H13、H8、H4、H2和H1。P5只能放在H13位

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

班级 学号 姓名 统计学 习题活页

第四章数据的描述性分析 1.一个车间200 要求:(1)计算工人生产零件的众数、中位数和算术平均数;(2)说明该数列的分布特征;(3)计算工人生产零件的标准差与标准差系数。 2 要求:(1)计算该公司产量计划完成百分比;(2)计算该公司实际的优质品率。 3.某企业2007

要求:(1)计算平均等级指标说明二季度比一季度产品质量的变化情况;(2)由于质量变化而给该企业带来的收益(或损失)。 4 要求:(1)计算两个菜场蔬菜的平均价格;(2)比较价格的高低,并说明原因。

5.打开Ex4_1,其中有15个数据。 要求:(1)计算这组数据的算术平均数、调和平均数和几何平均数,(2)比较三种平均数的大小;(3)将这组数据减少10、增加10,计算新生成的两组数列的算术平均数、标准差和标准差系数;(4)将这组数据乘以10、除以10,计算新生成的两组数列的算术平均数、标准差和标准差系数。 6.打开Ex4_2,其中是经济学专业2个班级的微积分的期末考试成绩。 要求:(1)计算这个专业微积分成绩的最高分、最低分、算术平均数和标准差(用工具“描述统计”);(2)分别计算这两个班级微积分成绩的最高分、最低分、算术平均数和标准差(用工具“描述统计”);(3)分别统计并做表列出两个班级各档分数的次数(用函数“Frequency”)与所占比重、列出向上、向下累计的次数与频率。

7.打开Ex4_3,其中是2005年江苏省52个县市人均地区生产总值。 要求:计算各项指标,并选择答案: (1)江苏省52个县市的平均人均地区生产总值是多少元? A. 20725 B. 18674 C. 15721 D. 19711 E.85124 (2)江苏省52个县市人均地区生产总值的标准差是多少? A. 36023 B. 11969 C. 9837 D. 5632 E. 21773 (3)江苏省52个县市人均地区生产总值的中位数是多少? A. 6923 B. 4292 C. 13119 D. 5798 E. 14992 (4)江苏省52个县市人均地区生产总值的偏态系数是多少? A. 0.55 B. -1.23 C. 2.56 D. 2.48 E. -0.10 (5)江苏省52个县市人均地区生产总值的峰度系数是多少? A. 8.92 B. -5.28 C. 2.02 D. 6.57 E. -0.54 (6)江苏省52个县市人均地区生产总值的全距是多少? A. 10964 B. 108647 C. 108586 D. 32948 E. 25124 (7)根据斯透奇斯规则对52个县市数据进行分组,组数是多少? A. 9 B. 5 C. 7 D. 6 E. 8 (8)若采用等距数列,根据组数和全距的关系,确定的组距是多少? A. 18500 B. 16300 C. 29400 D. 17000 E. 23200 (9)人均地区生产总值在20600~36900元之间的县市个数是多少? A. 35 B. 8 C. 5 D. 6 E. 20 (10)人均地区生产总值大于20600元的县市个数占全部县市比例是多少? A. 32.7% B. 20.2% C. 25.0% D. 15.6% E. 28.8%

计算机组成原理实验报告 指令译码器

河北大学计算机组成原理实验报告 实验项目指令译码器成绩 一、实验目的: (1)理解指令译码器的作用和重要性。 (2)学习设计指令译码器。 二、实验原理: 指令译码器是计算机控制器中最重要的部分。所谓组合逻辑控制器就是指指令译码电路是由组合逻辑实现的。 组合逻辑控制器又称硬连线控制器,是设计计算机的一种方法。这种控制器中的控制信号直接由各种类型的逻辑门和触发器等构成。这样,一旦控制部件构成后,除非重新设计和物理上对它重新连线,否则要想增加新的功能是不可能的。结构上这种缺陷使得硬连线控制器的设计和调试变得非常复杂而且代价很大。所以,硬连线控制器曾一度被微程序控制器所取代。但是随着新一代及其及VLSI技术的发展,这种控制器又得到了广泛重视,如RISC机广泛使用这种控制器。 图6-42是组合逻辑控制器的方框图。逻辑网络的输入信号来源有3个:①指令操作码译 码器的输出I n ;②来自时序发生器的节拍电位信号T k ;③来自执行部件的反馈信号B j 。逻辑网 络的输出信号就是微操作控制信号,用来对执行部件进行控制。

图6-42 组合逻辑控制器的结构方框图 组合逻辑控制器的基本原理可描述位:某一微操作控制信号C m 是指令操作码译码器的输出I n 、时序信号(节拍电位信号T k )和状态条件信号B j 的逻辑函数。即 C m =f(I n ,T k ,B j ) 用这种方法设计控制器,需要根据每条指令的要求,让节拍电位和时序脉冲有步骤地去控制机器的各有关部分,一步一步地执行指令所规定的微操作,从而在一个指令周期内完成一条指令所规定的全部操作。 三、实验步骤: (1)将试验台设置成FPGA-CPU 独立调试模式,REGSEL=0、CLKSEL=1、FDSEL=0。使用试验台上的单脉冲,即STEP_CLK 短路子短接,短路子RUN_CLK 断开。 (2)将设计在QuartusII 下输入,变异后下载到TEC-CA 上的FPGA 中。 (3)拨动试验台上的开关SD 5~SD 0,改变IR[15..12]、进位标志C 和结果为0标志Z ,观察指示灯R 10~R 0显示的控制信号,并填写表6-28。 四、实验现象及分析:

哈夫曼编码译码器实验报告免费

哈夫曼编码译码器实验报告(免费)

————————————————————————————————作者:————————————————————————————————日期:

问题解析与解题方法 问题分析: 设计一个哈夫曼编码、译码系统。对一个ASCII编码的文本文件中的字符进行哈夫曼编码,生成编码文件;反过来,可将编码文件译码还原为一个文本文件。 (1)从文件中读入任意一篇英文短文(文件为ASCII编码,扩展名为txt); (2)统计并输出不同字符在文章中出现的频率(空格、换行、标点等也按字符处理);(3)根据字符频率构造哈夫曼树,并给出每个字符的哈夫曼编码; (4)将文本文件利用哈夫曼树进行编码,存储成压缩文件(编码文件后缀名.huf)(5)用哈夫曼编码来存储文件,并和输入文本文件大小进行比较,计算文件压缩率;(6)进行译码,将huf文件译码为ASCII编码的txt文件,与原txt文件进行比较。 根据上述过程可以知道该编码译码器的关键在于字符统计和哈夫曼树的创建以及解码。 哈夫曼树的理论创建过程如下: 一、构成初始集合 对给定的n个权值{W1,W2,W3,...,Wi,...,Wn}构成n棵二叉树的初始集合 F={T1,T2,T3,...,Ti,...,Tn},其中每棵二叉树Ti中只有一个权值为Wi的根结 点,它的左右子树均为空。 二、选取左右子树 在F中选取两棵根结点权值最小的树作为新构造的二叉树的左右子树,新二 叉树的根结点的权值为其左右子树的根结点的权值之和。 三、删除左右子树 从F中删除这两棵树,并把这棵新的二叉树同样以升序排列加入到集合F中。 四、重复二和三两步, 重复二和三两步,直到集合F中只有一棵二叉树为止。 因此,有如下分析: 1.我们需要一个功能函数对ASCII码的初始化并需要一个数组来保存它们; 2.定义代表森林的数组,在创建哈夫曼树的过程当中保存被选中的字符,即给定报文 中出现的字符,模拟哈夫曼树选取和删除左右子树的过程; 3.自底而上地创建哈夫曼树,保存根的地址和每个叶节点的地址,即字符的地址,然 后自底而上检索,首尾对换调整为哈夫曼树实现哈弗曼编码; 4.从哈弗曼编码文件当中读入字符,根据当前字符为0或者1的状况访问左子树或者 右孩子,实现解码; 5.使用文件读写操作哈夫曼编码和解码结果的写入; 解题方法: 结构体、数组、类的定义: 1.定义结构体类型的signode 作为哈夫曼树的节点,定义结构体类型的hufnode 作为

74LS181实验报告

学生实验报告 实验名称用Verilog HDL语句实现74LS181的功能 实验日期2013 年10月19号 学号 姓名 班级计算机科学与技术一班 一、实验目的与要求 1、了解运算器的组成结构; 2、掌握算术逻辑运算器的工作原理; 3、掌握简单运算器的数据传送通道 4、掌握用Verilog HDL实现简单运算器的设计 二、实验原理 74LS181的逻辑功能表 图中,S0到S3是四个控制端,用于选择进行何种运算。M用于控制ALU进行算术

运算还是逻辑运算。 当M=0时,M 对进位信号没有任何影响,Fi 值与操作数Ai ,Bi 以及地位向本位进位Cn+1有关,所以M=0时进行算术运算。操作数用补码表示,“加”只算术加,运算时考虑进位;“+”指逻辑加,不考虑进位;减法运算时,减法取反码运算后用加法器实现,结果输出为A 减B 减1在最末位产生一个强迫进位(加1),以得到A 减B 的结果。 当M=1时,封锁了各位的进位输出Cn+i=0,因此各位的运算结果Fi 仅与操作数Ai ,Bi 有关,此时进行逻辑运算。 三、实验内容与步骤 1.根据书85面的逻辑功能表编写Verilog HDL 语句,编译,仿真等步骤。 2.按照模式一电路图结构图设置对应的引脚参数。确认无误后,配置文件下载。 CLOCK9CLOCK5CLOCK2 CLOCK0 SPEAKER 扬声器 NO.1 PIO11-PIO8PIO15-PIO12PIO48 PIO49D15 D16HEX HEX PIO32 PIO33 PIO34 PIO35 PIO36 PIO37 PIO38 PIO39 D1D2D3D4D5D6D7D8实验电路结构图 译码器 译码器 译码器 译码器 FPGA/CPLD 目标芯片1 2 3 4 5 6 7 8 PIO3-PIO0 PIO7-PIO4HEX HEX 键1 键2 键3 键4 键5 键6 键7 键8 PIO39-PIO32PIO31-PIO28 PIO27-PIO24PIO23-PIO20PIO19-PIO16 3.连接试验箱,开通电源,进行验证。 五、实验环境与设备 实验软件:Quartus ii 软件 实验设备:实验室试验箱 六、实验代码设计(含符号说明) module NS74181(A,B,F,S,CN,CO,M); //端口名 input[3:0] A,B; input[3:0] S; input M,CN; output CO; output[3:0] F; reg[3:0] F; reg[3:0] ta,tb; //用ta,tb 代替~A 和~B reg CO; //进位 always @(S) begin ta=~A; tb=~B; case(S) 'b0000: begin

实验三---译码器及其应用实验报告

实验三译码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,电脑一台,74LS20,74LS138。 三、实验内容 (1)利用3-8译码器74LS138和与非门74LS20实现函数: 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: 按Figure 1所示的电路连接。并用Multisim进行仿真,将结果对比。

Figure 1 (2) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 导线测试,在各端子上移动即可。在multisim中仿真电路连接如Figure 2所示(实 验台上的电路没有接下面的两个8灯LED): Figure 2 四、实验结果 (1) 利用3-8译码器74LS138和与非门74LS20实现函数。

输入,由可知,小灯应该亮。测试结果如Figure 1所示。输入,分析知小灯应该灭,测试结果如Figure 2所示。输入 ,分析知小灯应该亮,测试结果如Figure 3所示。 Figure 4 Figure 5

Figure 6 同理测试,得到结果列为下面的真值表: A B C Y 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 与所要实现的逻辑功能相一致。 (2) 用两片74LS138组成4-16线译码器。 进行测试,得到的结果列为真值表如下: G1 A B C 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1

学校_班级_姓名_学号

2007—2008学年度第一学期六年级语文期中检测 成绩 一、词句训练 1、看拼音写词语10% j ìng m ? di ān b ǒ b ā l í shu ǎ l ài hu āng w ú r ?n y ān ( ) ( ) ( ) ( ) ( ) qu án h ?ng k ān ch ēng shu ? gu ǒ r ?ng qi à ch ū r ?n t ?u d ì ( ) ( ) ( ) ( ) ( ) 2、用“ ”划出加点字的正确读音。4% 蓦. 然(m ù m ?)薄雾(b áo b ?)逊色(x ūn x ùn )战车千乘(ch ?ng sh ang ) · · · · 秩序(zh ì ch ì)撇下(pi ē pi ě)刁难(n àn n án )枉费心机 (w ǎng w àng ) · · · · 3、用“ ”找出词语中的错别字,并把正确的字写在括号里。3% 锣鼓宣天( ) 悲愤欲决( ) 负偶顽抗( ) 惊心动魂( ) 爱不饰手( ) 银妆素裹( ) 4、把下面的句子写具体。6% ⑴他的肚量真大, 。 ⑵今夜可真黑, 。 ⑶校园里静得出奇, 。 5、根据读音或意思造句。4% ⑴di d ào 地 道 di dao 地 道 ⑵漂亮(好看的意思) 漂亮(指出色) 5、用修改符号修改下面一段话。6% 有一个劳改犯人外出修路时,检到了1000元钱,他不假思索地把它交给了监管警察。于是,监管警察却轻蔑的对他说,你别来这一套,拿自己的钱变着花样贿赂我,想换来减行,你们这号人就是不老实! 6、成语运用。3% 用几句话夸夸中国的剪纸艺术或中国的戏剧,至少用上三个成语,所用成语要用横线标出。 ……………………………………………………………………………………………装……………………………装……………………………… ……………… 学校校____________ ____________ _ 班级班级____________ ____________

学院班级学号姓名

学院 交通学院 班级 学号 姓名 -----------------------〇------------ 装 --------------〇----------------- 订 --------------〇------------------ 线 --------------〇----------------------------------- 一、 填空(15分,每题1分) 1. 柴油机混合气形成方式有 和 两种。 2. 物体的热传递方式有 、 、 三种。 3. 燃烧放热规律的三要素是 、 、 。 4. 汽油机功率混合气的过量空气系数为 。 5. 化油器的省油系统的目的是 。 6. 发动机排出的主要有害气体是 CO 、 HC 和 __________。 7. 汽油机的_______ 是指节气门全开时测得的速度特性。 8. 发动机机械损失的测定方法有 、 、 三种。 二、 选择题(10分,每题1分) 1.汽油机在减速过程需要主要控制( ) 的排放 A.N 2 B.CO C.HC D.NO X 2.预燃室式燃烧室的预燃室容积约占整个燃烧室容积的( ) A.25~30% B.35~45% C.45~55% D.55~65% 3、下列对车用发动机增压系统优点的描述中不正确的一项是 ( ) A.减小发动机的比质量和外形尺寸 B.提高发动机的经济性指标 C.减少排气污染和噪声 D.降低发动机的机械负荷和热负荷 4、在四行程发动机实际循环中,哪个行程的温度最高? ( ) A .进气 B .压缩 C .作功 D .排气 5、汽油机的过量空气系数α的取值范围一般为( )。 A.0.85~1.1 B.1.2~1.5 C.1.8~2.0 D.1.7~2.2 6、汽油机表面点火和爆燃的主要区别是( )。 A. 表面点火时火焰传播速度较爆燃时高,最高可达 1000m/s 以上 B. 爆燃时金属敲击声比较沉闷,而表面点火时金属敲击声比较清脆 C. 表面点火是燃烧室内炽热表面点燃混合气所致,而爆燃是终燃混合气的自燃现象 D. 表面点火时伴有压力冲击波的产生,而爆燃时不产生压力冲击波 7、如果某柴油机油束雾化不好,下面哪种措施可能会明显提高油束雾化质量 ? ( ) A. 在其它条件不变的情况下,适当减小介质反压力 B. 在其它条件不变的情况下,适当降低喷油泵凸轮转速 C. 在其它条件不变的情况下,适当增加喷孔数目 D. 在其它条件不变的情况下,适当减小喷油压力

PL 0 语言编译器分析实验报告

PL/0 语言编译器分析实验 一、实验目的 通过阅读与解析一个实际编译器(PL/0语言编译器)的源代码,加深对编译阶段(包括词法分析、语法分析、语义分析、中间代码生成等)和编译系统软件结构的理解,并达到提高学生学习兴趣的目的。 二、实验要求 (1)要求掌握基本的程序设计技巧(C语言)和阅读较大规模程序源代码的能力; (2)理解并掌握编译过程的逻辑阶段及各逻辑阶段的功能; (3)要求能把握整个系统(PL/0语言编译器)的体系结构,各功能模块的功能,各模块之间的接口; (4)要求能总结出实现编译过程各逻辑阶段功能采用的具体算法与技 三、实验报告 pl/0语言是pascal语言的一个子集,我们这里分析的pl/0的编译程序包括了对pl/0语言源程序进行分析处理、编译生成类pcode代码,并在虚拟机上解释运行生成的类pcode代码的功能。 pl/0语言编译程序采用以语法分析为核心、一遍扫描的编译方法。词法分析和代码生成作为独立的子程序供语法分析程序调用。语法分析的同时,提供了出错报告和出错恢复的功能。在源程序没有错误编译通过的情况下,调用类pcode 解释程序解释执行生成的类pcode代码。 词法分析子程序分析: 词法分析子程序名为getsym,功能是从源程序中读出一个单词符号(token),把它的信息放入全局变量sym、id和num中,语法分析器需要单词时,直接从这三个变量中获得。(注意!语法分析器每次用完这三个变量的值就立即调用getsym 子程序获取新的单词供下一次使用。而不是在需要新单词时才调用getsym过程。)getsym过程通过反复调用getch子过程从源程序过获取字符,并把它们拼成单词。getch过程中使用了行缓冲区技术以提高程序运行效率。 词法分析器的分析过程: 调用getsym时,它通过getch过程从源程序中获得一个字符。如果这个字符是字母,则继续获取字符或数字,最终可以拼成一个单词,查保留字表,如果查到为保留字,则把sym变量赋成相应的保留字类型值;如果没有查到,则这个单词应是一个用户自定义的标识符(可能是变量名、常量名或是过程的名字),把sym 置为ident,把这个单词存入id变量。查保留字表时使用了二分法查找以提高效率。如果getch获得的字符是数字,则继续用getch获取数字,并把它们拼成一个整数,然后把sym置为number,并把拼成的数值放入num变量。如果识别出其它合

数字电子线路实验报告_译码器及其应用

数电实验报告 实验三译码器及其应用 一、实验目的 1、掌握译码器的测试方法。 2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。 3、掌握用译码器构成组合电路的方法。 4、学习译码器的扩展。 二、实验仪器 1、数字逻辑电路实验板 1块 2、74HC138 3-8线译码器 2片 3、74HC20 双4输入与非门 1片 三、实验原理 1、中规模集成译码器74HC138 74HC138是集成3线-8线译码器,在数字系统中应用比较广泛。图3-1是其引脚排列。 其中 A2 、A1 、A0 为地址输入端, 0Y~ 7Y为译码输出端,S1、2S、3S为使能端。74HC138真值表如下: 74HC138引脚图为:

74HC138工作原理为:当S1=1,S2+S3=0时,电路完成译码功能,输出低电平有效。其中: 2、译码器应用 因为74HC138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输 出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。 四、实验内容 1、译码器74HC138 逻辑功能测试 (1)控制端功能测试 测试电路如图:

按上表所示条件输入开关状态。观察并记录译码器输出状态。 LED指示灯亮为0,灯不亮为1。 (2)逻辑功能测试 将译码器使能端S1、2S、3S及地址端A2、A1、A0 分别接至逻辑电平开关输出口,八个 输出端Y7 Y0依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按下表逐项测试74HC138的逻辑功能。 2、用74HC138实现逻辑函数 Y=AB+BC+CA 如果设A2=A,A1=B,A0=C,则函数Y的逻辑图如上所示。用74HC138和74HC20各一块在实验箱上连接下图线路。并将测试结果下面的记录表中。

学号+姓名-(3)

学号+姓名-(3)

河南工程学院《软件综合运用》课程设计题目:智能优化算法 姓名:王朋成 学号:201411003116 学院:理学院 专业班级:数学与应用数学1441班 专业课程:软件综合运用设计 指导教师:刘凯 2017年9月8日

目录 课题1.最小化加权总完工时间和问题的计算 (1) 1.1.设计目的 (1) 1.2.问题介绍 (1) 1.3.最优算法 (2) 1.4.算法原理 (2) 1.5.程序代码 (2) 1.6.运行结果 (4)

课题2:最小化最大延误时间问题的计算 (6) 2.1.设计目的 (6) 2.2.问题介绍 (6) 2.3.最优算法 (6) 2.4.算法原理 (7) 2.5.程序代码 (7) 2.6.运行结果 (9) 心得体会 (12)

实验1.最小化加权总完工时间和问题的计算 1.1.设计目的 通过课程设计,熟练掌握最小化加权完工时间和问题的算法思想、程序设计及结果输出。对MATLAB 、JAVA 等编程语言与编程环境进行复习和强化,最后进行最优排序和目标函数的输出。本次设计本质上就是寻求一个组合最优化问题的最优解。并通过本次的课程设计熟练掌握WSPT 算法的基本思想,以此解决实际问题。 1.2.问题介绍 问题描述: 本次试验所计算问题为1j j w c ∑,该问题即为:有n 个工件 ) ,...1(j n j T =,工件j T 的加工时间为j P ,权重为j W ,工件 j T 在机器上的完工时间为j C 。本问题即确定工件 集),...1(j n j T =在机器上的加工顺序或加工位置,使得目标函数∑j j c w 达到最小。 问题背景: 考虑排序问题1||∑,其中n=5 P=(12,4,7,11,6),w=(4,2,5,5,6) 由wspt 规则,可得最优排序为[],加权总完工时间为∑=435

cpu实验报告

简易计算机系统综合设计设计报告 班级姓名学号 一、设计目的 连贯运用《数字逻辑》所学到的知识,熟练掌握EDA工具的使用方法,为学习好后续《计算机原理》课程做铺垫。 二、设计内容 ①按给定的数据格式和指令系统,使用EDA工具设计一台用硬连线逻辑控制的简易计算机系统; ②要求灵活运用各方面知识,使得所设计的计算机系统具有较佳的性能; ③对所做设计的性能指标进行分析,整理出设计报告。 三、详细设计 3.1设计的整体架构 控制信号

3.2各模块的具体实现 1.指令计数器(zhiling_PC) 元件: 输入端口:CLK,RESET,EN; 输出端口:PC[3..0]; CLK:时钟信号; RESET:复位信号; EN:计数器控制信号,为1的时候加一; PC[3..0]:地址输出信号; 代码:

波形图: 总共有九条指令,指令计数器从0000到1000;功能: 实现指令地址的输出; 2.存储器(RAM) 元件: 输入端口:PC[3..0],CLK; 输出端口:zhiling[7..0]; CLK:时钟信号; PC[3..0]:指令地址信号; zhiling[7..0]:指令输出信号; 代码:

波形图: 功能: 根据输入的地址输出相应的指令; 3.指令译码器(zlymq) 元件: 输入端口:zhiling[7..0]; 输出端口:R1[1..0],R2[1..0],M[3..0];zhiling[7..0]:指令信号; R1:目标寄存器地址; R2:源寄存器地址; M[3..0]:指令所代表的操作编号; 代码:

波形图:

功能: 实现指令的操作译码,同时提取出目标寄存器和源寄存器的地址; 4.算术逻辑运算器(ALU) 元件: 输入端口:EN_ALU,a[7..0],b[7..0],M[3..0]; 输出端口:c[7..0],z; EN_ALU:运算器的使能端; a[7..0]:目标寄存器R1的值; b[7..0]:源寄存器R2的值; M[3..0]:指令所代表的操作编号; c[7..0]:运算结果; z:运算完成的信号; 代码:

相关文档
最新文档