初识任意波形发生器

初识任意波形发生器
初识任意波形发生器

初识任意波形发生器方浩深圳市鼎阳科技有限公司

初识任意波形发生器

在产品调试的过程中,大多数的电路需要输入某种幅度随时间变化的信号,在这样的应用场景中,一个完整的测试测量系统一般会包含激励源,被测件和采集仪器三个部分。采集仪器通常使用的是示波器和逻辑分析仪,而信号源在系统中则扮演了激励源的角色,任意波形发生器就是种类众多的信号源中的一种,在电子测试测量领域应用广泛。

信号源何时诞生?经历了怎样的发展历程?

信号源是一种古老的测试测量仪器,伴随着整个仪器的发展周期,世界上第一台信号源诞生于20世纪20年代。随着通信和雷达技术的发展,20世纪40年代出现了主要用于测试各种接收机的标准信号发生器,使信号源的应用范畴从定性分析演进到了定量分析的范畴,同一时期还出现了可用作脉冲调制器的脉冲信号发生器。

早期的信号源机械结构复杂,功率较大,电路比较简单,发展速度非常慢。这种窘境直到1964年世界上出现了第一台全晶体管的信号源之后才得到改观。此后出现了函数发生器,扫频信号发生器,合成信号发生器,程控信号发生器等新种类,信号源的各项指标都得到了大幅提高。但是采用模拟电子技术的信号源由分立器件或模拟集成电路构成,不仅电路结构复杂,而且只能产生种类非常有限的简单波形。更令人头疼的是,模拟电路的漂移较大,使得信号源输出波形的幅度稳定性很差。

自从70年代微处理器出现以后,利用微处理器和DAC可以使得信号源的功能进一步扩大,能够产生比较复杂的波形。但是,这种方案有一个很严重的缺陷:输出波形的频率低主要是由CPU的工作频率决定的,这就意味着只能通过缩短软件执行时间或提高CPU的时钟频率来提高信号源输出波形的频率,具有很大的局限性。

发展到今天,市面上的信号源大多基于数字技术,许多信号源既可以输出模拟信号又可以

输出数字信号,但是在真正高效的方案中,往往都是选择根据具体的应用环境优化过的信号源,因此也派生出了多种不同类型的信号源。

时下的信号源有哪些类型?

广义上,根据信号源的应用范畴可以分为两类,数字应用信号源和模拟应用信号源。

其中专门为数字应用而诞生的信号源,我们称之为逻辑源。逻辑源大体上可以分为两类,一种是可以输出方波和脉冲流的脉冲发生器,脉冲发生器的输出频率一般非常高,经常用于测试数字器件。此外,还有一种逻辑源,我们称之为码型发生器,或者数据发生器,这种仪器一般有生成8个,16个或者更多输出通道,可以产生各种类型的同步数字脉冲流,码型发生器常常作为计算机总线、数字电信单元等的激励信号。

虽然各厂家的命名方式各不相同,但是在需要提供模拟信号或者混合信号的应用场景中使用的信号源大体上有任意波形发生器、射频信号发生器、微波信号发生器和基带信号发生器几种类型。其中,本系列文章将要给大家全面展开介绍的任意波形发生器是一种比较主流的信号源,它可以将离散的数据点存放在存储器中,通过系统时钟产生读取数据的触发信号,经过DAC芯片的转换和低通滤波器最终生成模拟波形,使用这种“采样原理”理论上可以生成和编辑几乎任意类型和参数的波形。函数/任意波形发生器一般有1-4路输出,某些功能更加丰富的型号还支持触发信号和系统时钟信号的输出,用于触发外部的仪器,比如作为示波器的外部触发信号,如图1所示的就是鼎阳科技出品的SDG2000X系列函数/任意波形发生器。

图1

SDG2000X

系列函数/

任意波形发

生器

任意波形发生器拿来干嘛用的?

任意波形发生器具备了函数发生器的所有功能,可以产生正弦波、方波、三角波等基本函数。除此之外,任意波形发生器还可以产生模拟和数字调制信号,支持线性/对数扫频信号和脉冲串的输出,这是任意波形发生器区别于函数发生器的最大特征。鼎阳科技的全系列函数/任意波形发生器都能支持AM、FM、PM、FSK、ASK、DSB-AM等模拟和数字调制功能。都支持扫频功能和脉冲串输出功能,在这两种模式中,触发源可以在内部、外部和手动三种中进行选择,当选择内部和手动触发源的时候,支持触发信号输出,便于实现多款不同的仪器之间的触发同步。

任意波形发生器有上百种应用方式,但是在电子测试测量领域,其应用范围基本可以可以分为三种:检验、检定以及极限/余量测试。在产品的调试阶段,工程师需要测试产品的各项参数,以检验产品是否满足相关的出厂标准,在这个过程中,任意波形发生器需要发出标准规定的信号作为待测网络的激励源,通过测量并记录被测网络的响应,然后将记录的结果与标准规定的指标进行对照并且得出检验的结论。另外,新开发的工控模块,数据调理模块等都需要使用任意波形发生器通过穷尽测试来确定其线性度和单调性等指标。在很多场合中,任意波形发生器需要在其提供的信号中增加已知的,数量和类型可重复的失真或损伤,通过控制失真或损伤相关的参数可以对被测件进行极限/余量测试。

任意波形发生器有哪些主要的指标?这些指标分别有什么含义?

如果您使用过示波器,那么您一定听说过示波器的三大指标:带宽,存储深度,采样率,我们在挑选一款合适的示波器的时候,这三大指标往往是我们首要考虑的因素。实际上,从原理的角度来看,任意波形发生器是示波器的逆过程,那么任意波形发生器是否也有所谓的三大

指标呢?答案是肯定的,在任意波形发生器的范畴中,同样有带宽,采样率和存储深度的概念。

1、带宽

任意波形发生器的带宽可以定义为最大可输出的正弦波的频率。但是,对于不同的输出波形,任意波形发生器能够支持的最大输出频率是有区别的,比如在鼎阳科技的SDG2122X函数/任意波形发生器中,当输出正弦波时,可以输出的最大频率为120MHz,然而当它输出方波时,最高输出频率就变为了25MHz。之所以会有这样的区别,是因为方波边沿变化很快,包含了许多高频成分,为了避免输出的方波上升沿和下降沿部分产生严重失真,当任意波形发生器输出方波时,其带宽必须能够覆盖更多的高次谐波成分。

2、采样率

任意波形发生器的采样率通常用每秒兆样点或者千兆样点表示,比如SDG2000X系列函数/任意波形发生器标称的采样率为1.2GSa/s,这项指标表明了任意波形发生器将数字信号转换为模拟信号的速率,采样率影响着主要输出信号的频率和保真度。伟大的奈奎斯特采样定理规定,采样率或时钟速率必须至少是生成的信号中最高频谱成分的两倍,在这样的前提下才能保证精确的复现原始信号。但是在实际应用中,两倍是往往还是不够的,具体还是要看信号的类型和上升时间。

3、存储深度

存储深度是指用来记录波形的数据点数,它决定着波形数据的最大样点数量。任意波形发生器的带宽是由采样速率和存储深度决定的。SDG2000X系列函数/任意波形发生器支持时下非常流行的“逐点输出”,能够在保证不丢失波形细节的前提下,以1uSa/s~75MSa/s的可变采样率输出8pts~8Mpts范围内的任意长度地抖动波形。

除了以上三个指标之外,频率分辨率和垂直分辨率也是任意波形发生器的重要指标。垂直分辨率指的是在任意波形发生器中可以编程的最小电压增量,跟硬件电路中使用的DAC的位

数有关,一般用单位“位”来表示,它决定了输出波形的幅度精度。频率分辨率,即最小可调频率分辨率,也就是创建波形时可以使用的最小时间增量,跟时钟的最高速率以及DAC的转换速率有关。

当我们把不同厂家的任意波形发生器摆在一起的时候,就会发现,实际上大家都已经能够做到相似的功能,但是从输出信号的周期抖动范围,可调整的最小脉宽,上升沿下降沿可调整的最小步进距离等方面可以看出不同厂家优化功力的高低。鼎阳科技的SDG2000X系列函数/任意波形发生器使用了申请了发明专利的EadyPulse技术和TrueArb技术,有效提高了波形发生器的性能。这在后续的文章中将会向您详细说明。

文章的最后,还有一段总结

总之,任意波形发生器是应用最广泛的基础通用仪器之一,是电子工程师信号仿真实验的必备工具。本文介绍了波形发生器相关的一些知识,意在为您的选型和对概念的了解提供方便。但是如果要对任意波形发生器有更进一步的了解,仅仅靠上述的内容是不够的,接下来我会延续这个系列的文章,为您剖析DDS技术,EasyPulse技术,TrueArb技术等相关描述,让您对波形发生器的原理有更多的了解。

更多内容,请关注鼎阳硬件设计与测试智库。

两款函数任意波形发生器产品简介

是德科技 30 MHz 函数/任意波形发生器 33521A 单通道函数/任意波形发生器 33522A 双通道函数/任意波形发生器 技术资料 ?????????????????? ?????????????????? ???? (alias-protected) ?????? ??

33500 系列函数/任意波形发生器 实现更出色的精度和灵活性?わょ??????????????????わ???????????????????????????? Keysight 33500 ????/??????????????????????????????????????????????????⒔????? 10 ???????????????????????????????????? 主要特性 —30 MHz ??????? ??????????? —???? 40 ps???????? 0.04%???????????—250 MSa/s ???? 16 ??? ????????????????? —????????????????????????????????? —??? 33522A ?????勚??????ㄩ? —?㈨ 1 MSa ??▌╈????㈨ 16 MSa ▌╈???▌╈???? ???? —?? LXI C ??? —????????????? TFT ?????????????????????????? —??? BenchL ink Waveform Builder Pro ????????????信号保真度 ???????????????? ??????????????? ??????????????? ??????????????? ????? 33500 ????/??? ??????????????? ??????? 40 ps ?⒔??? ???/??????? 10 ???? ??????????? 16 ??? ???? 0.04% ???????? ▕ 250 MSa/s (16 ?) ??????? ????????????▌╈?? ????????????⒋??? ???????????????? ???????????? 灵活的信号生成 33521A ? 33522A ???????? ??????????????? ? (DTMF) ????? 33522A ??? ?????????????ㄩ?? ???????勚???????? ??????????????(? ???????) ??????⒋? ???????????????? ???????????⒋??? 逐点波形 33500??????????? ???????????? (alias- protected) ?????????? ?????????????? ???33521A ? 33522A ??? ? 30 MHz ???????⒋?? ??????????????? ??????????????? ???????????????? ??????????????? ???????????????? ????????? 用户界面 ????????????? TFT ? ???????????????? ???????????????? ?????? 33500 ?????? LXI C ??????? USB 2.0 ? 10/100 Base-T ???????????㎡? ???? PC ?????????? ???????????????? ?? GPIB ????????? 可选 33503A BenchLink Waveform Builder Pro 软件 Benchlink Waveform Builder Pro ? ??????????????? ??????????????? ??? Microsoft Windows ???? ???????????????? ???????????????? ??????????????? ???????????????? ?╖????????㎡???? ??????????????? ??????????????? BenchLink Waveform Builder Pro? ???????????????? ???????????????? ?????╱????????? ㎡??????????????? ??????????????? ??? 30 ??????????? https://www.360docs.net/doc/9215578897.html,/? nd/33503

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

国产函数、任意波形发生器大比拼

国产函数、任意波形发生器大比拼 典型的DDS原理框图如图所示。 其实质是数模转换,仍然要遵循奈奎斯特采样定理。即输出的频率不超过采样率的一半,事实上商用的采用DDS技术的函数/任意波形发生器由于受到低通滤波器设计以及杂散分布的影响限制,输出波形的最高频率均不超过采样率的40%。相对于直接模拟频率合成,锁相频率合成,其优点如下: ·频率分辨率高。若时钟频率不变,DDS频率分辨率仅由相位累加器位数来决定,也就是理论上的值越大,就可以得到足够高的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多都小于1mHz甚至更小,这是其他频率合成器很难做到的。 ·工作频带较宽。根据Nyquist定律,只要输出信号的最高频率分辨率分量小于或等于fclk/2就可以实现。而实际当中由于受到低通滤波器设计以及杂散分布的影响限制,仅能做到40% fclk左右。 ·超高速频率转换时间。DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。DDS 的频率转换时间可达到纳秒数量级,比使用其它的频率合成方法都要小几个数量级。 ·相位变化连续。改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。 ·具有任意输出波形的能力。只要ROM中所存的幅值满足并且严格遵守Nyquist定律,即可得到输出波形。例如三角波、锯齿波和矩形波。 ·具有调制能力。由于DDS是相位控制系统,这样也就有利于各种调制功能。 同时DDS合成技术也有一些固有的缺点,如下: ·杂散分量丰富。这些杂散分量主要由相位舍位、幅度量化和DAC的非理想特性所引起。因为在实际的DDS电路中,为了达到足够小的频率分辨率,通常将相位累加器的位数取大。但受体积和成本的限制,即使采用先进的存储方法,ROM的容量都远小于此,因此在对ROM寻址时,只是用相位累加器的高位去寻址,这样不可避免地引起误差,即相位舍位误差。另外,一个幅值在理论上只能用一个无限长的二进制代码才能精确表示,由于ROM的存储能力,只采用了有限比特代码来表示这一幅值,这必然会引起幅度量化误差。另外,DAC的有限分辨率以及非线性也会引起误差。所以对杂散的分析和抑制,一直是国内外研究的特点,因为它从很大程度上决定了DDS的性能。 ·频带受限。由于DDS内部DAC和ROM的工作速度限制,使得DDS输出的最高频率有限。目前市场上采用CMOS、TTL等工艺制作的DDS芯片工作频率一般在几十MHz至几百MHz左右。但随着高速GaAs器件的出现,频带限制已明显改善,芯片工作频率可达到2GHz范围左右。 以上摘自:《现代DDS的研究进展与概述》一文,https://www.360docs.net/doc/9215578897.html,/event/emag/20080226.htm。 将DDS应用于波形发生器,能非常方便的产生任意波形。一般除了具备常规函数发生器所具备的正弦波、方波、锯齿波、脉冲、噪声外,还有指数上升、指数下降、Sinc波、心电图波、直流,以及地震波等任意波形。能采用直接在仪器上手动编辑或windows 下软件编辑的方式产生任意波形,用于模拟电路或应用环境中可能发生的情况,此外还具备非常丰富的调制功能,甚至有些调制功能是以往只能在高端信号源上才能看到的。 下面找出主要以国产厂商为主的函数/任意波形发生器做一个对比,以此来了解国内DDS的应用水平,并给出一个大概的选购指南,以便您在需要的时候能够快捷的找到合手的信号源。Agilent在很早之前就推出了33200系列

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

函数波形发生器.docx

1 2 3 4 5 6 7 8 vcc vcc 11 U1A LM324D 02 R12 50% 3 矩形波 C7 10uF 4~l 50%^! ■^iRH 10k ;, Rw6 D1 Dz1 0 2DZ4.QT Dz2… □Z4.7 iS 乙 0324D R1 卉扳忒 U3C 750 U Key=A 1N414^ D2 禺 4N4仏 C6 卄 IOOI R15 17 1ML Dz3 2^02DZ4- 13 4D1 2 ID 9 Rwl 50% T 啥 4 1nF Rw2 50% 100kj 50% Key?A R2 K'kL 23 锯齿 1 S 22 C2 Z100 R14 1k|. w3 24 _L >R3—T — : iokh 10: C3 ±22°F OOnF Rw8 100kL Key=A 21 巫弦波 三角波 .17V

* W 卄*4巴犁曲<5 冋"Y 0叢%T r

函数波形发生器的设计 一、验目的 1、学习函数波形发牛器的设实计方法; 2、了解单片函数发生器ICL8038的工作原理及应用; 3、掌握函数波形发生器电路的调试及主要指标的测试方法; 4、研究函数波形发牛器的设计方案。 二、实验原理 在无线电通信,测量,口动化控制等技术领域广泛地应用着各种类型的信号发牛器,常用的波形是止弦波,矩形波(方波)和锯齿拨。 随着集成电路技术的发展,己有能力同时产生同频的方波,三角波和正弦波的专用集成电路, 称为函数波形发生器,如ICL8038o 1.函数波形发生器 专用集成电路ICL8038就是一个函数波形发生器,其引出脚的排列及性能见附录一。典型应用电路如图5-2-1所示。 图5-2-1 161^038典熨应川电路

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

使用任意波形发生器-Tektronix

使用任意波形发生器 创建无线信号 入门手册

使用任意波形发生器创建无线信号入门手册 2 https://www.360docs.net/doc/9215578897.html,/signal_generators

使用任意波形发生器创建无线信号 入门手册 目 录 摘要??????????????????????????????????????????????4简介??????????????????????????????????????????????4无线应用与数字调制??????????????????????????????????????5-12无线发射面临的挑战?????????????????????????????????????5为什么要数字调制??????????????????????????????????????6什么是数字调制???????????????????????????????????????7数字调制应用????????????????????????????????????????12数字无线测试?????????????????????????????????????????12-19发射机-I-Q调制器测试???????????????????????????????????13 IF滤波器效率和损伤测试???????????????????????????????????14发射机-RF功率放大器线性度?????????????????????????????????15接收机-IF解调器测试????????????????????????????????????16接收机-RF功能测试????????????????????????????????????17接收机-平衡器特性评估???????????????????????????????????18接收机-干扰灵敏度?????????????????????????????????????18 RF频谱环境仿真???????????????????????????????????????19使用任意波形发生器(AWG)生成调制信号????????????????????????????19-25生成基带I-Q信号??????????????????????????????????????19 IF生成???????????????????????????????????????????20 RF生成???????????????????????????????????????????21编译复合信号????????????????????????????????????????23回绕式考虑?????????????????????????????????????????24展望??????????????????????????????????????????????26 https://www.360docs.net/doc/9215578897.html,/signal_generators 3

函数波形发生器 程序及程序流程图、系统原理图

ASSUME CS: CODE CODE PUBLIC ORG 100H START: MOV DX,40H ;8255 A口地址IN AL,DX ;8255初始化TEST AL,01H JZ FF1 TEST AL,02H JZ FF2 TEST AL,04H JZ FF3 JMP START ;读频率选择状态L: TEST AL,10H JZ FB TEST AL,20H JZ JCB TEST AL,40H JZ SJB JMP START ;读波形选择状态FF1:MOV SI,09H JMP L FF2:MOV SI,03H JMP L FF3:MOV SI,02H JMP L ;频率调节 FB: MOV DX 48H ;0832 端口地址F: MOV BX 0FFH F0: MOV CX,SI MOV AL,00H F1: OUT DX,AL LOOP F1 DEC BX JNZ F0 MOV BX,0FFH F2: MOV CX,SI F3: OUT DX,AL LOOP F3 DEC BX JNZ F2 JMP F ;方波发生子程序 JCB:MOV DX,48H ;0832 端口地址MOV AL,0FFH J: INC AL MOV BX,0FFH J1: MOV CX,SI J2: OUT DX,AL LOOP J2 DEC BX JNZ J1 JMP J ;锯齿波发生子程序 SJB: MOV DX,48H ;0832 端口地址S: MOV AL,00H MOV BX,80H S0: MOV CX,SI S1: OUT DX,AL INC AL LOOP S1 DEC BX JNZ S0 MOV BX 80H S2: MOV CX,SI S3: DEC AL OUT DX,AL LOOP S3 DEC BX JNZ S2 JMP S ;三角波发生子程序JMP START ENDS CODE

频率可变的任意波形发生器

深圳大学实验报告 课程名称:V erilog使用及其应用 实验名称:频率可变的任意波形发生器 学院:电子科学与技术学院 一、前言 波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常,在实验与工程中都具有重要的作用。随着电子技术的发展与成熟,电子工程领域对波形发生器的要求越来越高,不仅要求波形发生器具有连续的相位变换,频率稳定等特点,还要求波形发生器可以模拟各种复杂信号,并能做到幅度、频率,相位,波形动态可调。V erilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 本实验正是基于V erilog HDL语言对波形发生器的功能进行描述,并进行仿真,从而了解与掌握波形发生器的内部工作原理,并进一步熟悉与掌握V erilog HDL语言,将课堂所学知识进行实践。

二、实验原理 总体设计方案及其原理说明: DDS是一种把数字信号通过数/模转换器转换成模拟信号的合成技术。它由相位累加器、相幅转换函数表、D/A转换器以及内部时序控制产生器等电路组成。 参考频率f_clk为整个合成器的工作频率,输入的频率字保存在频率寄存器中,经N位相位累加器,累加一次,相位步进增加,经过内部ROM波形表得到相应的幅度值,经过D/A转换和低通滤波器得到合成的波形。△P为频率字,即相位增量;参考频率为f_clk;相位累加 器的长度为N位,输出频率f_out为: F_out——输出信号的频率;

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

任意波形发生器

基于CPLD和单片机的任意波形发生器设计 在电子工程设计与测试中,常常需要一些复杂的、具有特殊要求的信号,要求其波形可任意产生,频率方便可调。通常的信号产生器难以满足要求,市场上出售的任意信号产生器价格昂贵。结合实际需要,我们设计了一种任意波形发生器。电路设计中充分利用MATLAB的仿真功能,将希望得到的波形信号在MATLAB中完成信号的产生、抽样和模数转换,并将得到的数字波形数据存放在数据存储器中,通过单片机和CPLD控制,将波形数据读出,送入后向通道进行A/D转换和放大处理后得到所需的模拟信号波形。利用上述方法设计的任意波形发生器,信号产生灵活方便、功能扩展灵活、信号参数可调,实现了硬件电路的软件化设计。具有电路结构简单、实用性强、成本低廉等优点。 任意波形发生器的设计思想,是利用MATLAB的强大仿真功能,方便、快捷的生成给定频率、周期、脉宽的任意波形数据;并将数据预存在数据存储器中。在单片机控制下,利用CPLD电路产生地址读出数据,送入D/A转换电路,得到所需的任意波形信号。系统结构框图如图1;图中分频电路和地址发生器由CPLD实现。 图1 系统框图 单片机采用AT89C52芯片,通过软件编程产生所要求的控制信号。主要的控制参数包括:信号周期、脉宽;分频电路的开始信号、地址发生器的复位信号;E2PROM的选通信号;D/A转换电路的选通信号。在具体电路中,端口P1.0控制分频电路的启动、P1.1控制地址发生器的清零,P2.0控制 28C256和AD7545的选通信号。单片机工作在定时器0方式,软件设计利用C语言实现。流程图如图2所示。 图2 软件流程图 MATLAB作为一款优秀的数学工具软件,具有强大的运算功能;可以方便的产生各种信号波形,在软件中实现波形信号的产生、抽样和模数转换。设计的任意波形发生器,数据存储器选用28C256芯片,信号波形通过MATLAB仿真产生;得到的波形数据存放在数据存储器28C256中。具体设计中,我们要求产生周期为200ms,脉宽为5ms的单/调频混合信号,其中单频信号的脉宽为4ms,频率为 30KHz;调频信号的脉宽为1ms,频率为30KHz_35KHz。在MATLAB中设定抽样率为500KHz,得到了

函数波形发生器

函数波形发生器 一、题目分析 题目要求:利用D/A芯片产生峰峰值为5V的锯齿波和三角波。 控制功能:使用2个拨动开关(K1、K2)进行功能切换。当K1接高电平时,输出波形的频率为1Hz,否则为0.5Hz。当K2接高电平时,输出为三角波,否则输出为锯齿波。 使用的主要元器件:8031、6MHz的晶振、74LS373、74LS138、2764、DAC0832、LM324、拨动开关K1、K2等。 输出波形的验证方法:使用示波器测量输出波形。 函数发生器采用AT89c52 单片机作为控制核心,外围采用模拟/数字转换电路(DAC0832)、运放电路(LM324)、按键等。电路采用AT89C52单片机和一片DAC0832数模转换器组成数字式低频信号发生器。 通过开关控制可产生锯齿波、三角波,同时用开关控制频率切换的波形。所产生的波形V P-P范围为5 V,频率范围为1HZ与0.5HZ,波形准确并且平滑。本系统设计简单、性能优良,具有一定的实用性。 本设计主要应用AT89c52作为控制核心。硬件电路简单,软件功能完善,控制系统可靠,性价比较高等特点。 二、方案论证 硬件方案选择 方案一:AT89c52单片机是一种高性能8位单片微型计算机。它把构成计算机的中央处理器CPU、存储器、寄存器、I/O接口制作在一块集成电路芯片中,从而构成较为完整的计算机。AT89c52芯片中每一路模拟输出与DAC0832芯片相连,构成多个DAC0832同步输出电路,输出波形稳定,精度高,但是第二级DAC0832输出,发生错误并且电路连接复杂。 方案二:AT89c52芯片中只有一路模拟输出或几路模拟信号非同步输出,这种情况下CPU对DAC0832 执行一次写操作,则把一个数据直接写入DAC

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

智能函数波形发生器文献综述

毕业设计(论文)文献综述 题目:基于单片机的波形发生器的设计 英文题目: The Design Of Based-on Single Chip Waveform Generator 系部 : 电气工程系___________________ 专业: 电子科学与技术________________ 班级: 08电科(1)班_________________ 学号: 200831025___________________ 姓名: 姜东东_________________________ 指导老师: 陈素______________________ 填表日期:2012-2-18____________________

一、前言部分:(标题小四号宋体加粗,正文五号宋体,段落首行缩进2字符,字间距为标准字间距,行间距设置最小值,设置值为20磅) 波形发生器是电子技术领域中常见的信号源之一,在测量、自动控制、通信、广播和热处理等许多技术领域有着广泛的应用。波形发生器有产生三种或多种波形的波形发生器,使用的器件可以是分立器件,也可以采用集成电路。 本次毕业设计所制作的波形发生器可以产生方波、三角波、正弦波、负向锯齿波和正向锯齿波。在电路中,我们采用了集成运放,从而使波形的质量、幅值和频率的稳定性等性能指标有了很大的提高。电路的振荡频率在0~14.7KHZ之间连续可调,并且通过改变电路中的电位器,可以改变方波信号发生器的频率。通过毕业设计,加深了我们对所学知识的了解,提高了我们的动手能力,理论与实际相结合。近年来,自动控制技术的发展十分迅速,自动控制的普及率越来越高,在各行各业中得到了广泛的应用。在自动控制系统中,经常需要进行性能的测试以及信息的传送。这些都离不开一定的波形作为测试和传送的依据。而在模拟系统中,经常用到的波形除了正弦波振荡电路外,还有矩形波,锯齿波和三角波等。 在本波形发生器中用到的电源是直流稳压电源电路。采用了三端集成稳压器7812和7912,分别产生+12V和-12V的电压。在波形发生电路中,使用了LM324的四个运算放大电路,能够产生方波、三角波、正弦波、负向锯齿波以及正向锯齿波。 通过毕业设计,加深了我们对所学知识的了解,提高了我们的动手能力,理论与实际相结合。 二、主题部分:(标题小四号宋体加粗,正文五号宋体,段落首行缩进2字符,字间距为标准字间距,行间距设置最小值,设置值为20磅) 随着电子技术,尤其是军事电子技术革新带来的新体制武器装备的发展与应用,电子信号频率上限、信号带宽和调制带宽不断拓展,调制种类不断增加,波形任意化程度加剧,频率分辨力和捷变速度大幅提高。这一信号日益复杂化的趋势,对作为电子测试领域两大根本-信号产生与获取技术,提出了新的挑战。以高速数字采样为核心的时域测试正在成为现代电子测试技术的主流方向,波形产生与获取技术也不例外。 现代波形技术着眼于高速任意波形发生器、宽带高精度数字化仪、宽带数字存储示波器等高性能测试仪器的技术实现。 波形获取 在波形获取方面,数字采样技术应用极为广泛,数字电压表、数字存储示波器(DSO)、数字化仪、波形分析仪等仪器的技术实现几乎完全依赖采样技术;而基于数字中频技术的实时频谱分析仪、无线通信分析仪等测试仪器中,数字取样和实时信号处理技术已成为整个技术体系中的核心。而且,随着A/D取样速率和精度的不断提升,以及DSP理论与技术的日益成熟,射频/微波测试仪器的实现技术也正从以传统扫频技术为核心向以数字取样和实时处理技术为核心转变,基于实时采样的时域测试仪器,如数字示波器、高精度数字化仪等正在成为现代电子仪器的主流发展方向,孕育着电子仪器体系和测量方法的重要变革。

任意波形信号发生器

目录 一、题目要求及分析 (1) 1.1题目要求 (1) 1.2题目分析 (1) 二、任意波形信号发生器方案设计 (3) 2.1系统设计框图与思路 (3) 2.2 系统设计原理图 (5) 2.3 相关芯片介绍 (6) 三、相关模块具体程序实现 (10) 四、仿真及实际结果与分析 (16) 4.1波形选择及仿真结果 (16) 4.2波形选择及实际结果 (18) 4.3结果分析与相关问题解决 (23) 五、总结与体会 (24) 参考文献 (25) 附录 (26)

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

相关文档
最新文档