循环彩灯系统设计

循环彩灯系统设计
循环彩灯系统设计

课程设计报告

题目:循环彩灯系统设计

课程名称:电子技术课程设计学生姓名:

学生学号:

年级:15级

专业:电子信息工程

班级:1班

指导教师:

电子工程学院制

2017年3月

目录

1设计的任务与要求 (1)

1.1 课程设计的任务 (1)

1.2 课程设计的要求 (1)

2 循环彩灯设计方案制定 (1)

2.1 循环彩灯设计的技术方案 (1)

2.2 循环彩灯系统设计的原理 (1)

3 循环彩灯设计方案实施 (2)

3.1 循环彩灯单元模块功能及电路设计 (2)

3.2 循环彩灯电路参数计算及元器件选择 (8)

3.3 循环彩灯系统整体电路图 (9)

4 循环彩灯系统设计的仿真实现 (9)

4.1 仿真软件介绍 (9)

4.2循环设计仿真实现 (10)

5 总结及心得体会 (10)

6参考文献 (11)

循环彩灯系统设计

电子工程学院 电子信息工程专业

1设计的任务与要求

1.1 课程设计的任务

利用Multisim 仿真软件和电子元器件,设计并制作一个循环彩灯系统。

1.2 课程设计的要求

由八只LED 灯组成的彩灯系统,要以一定的花型循环,循环间隔可自行定义。

2 循环彩灯设计方案制定

2.1 循环彩灯设计的技术方案

图1原理框图 2.2 循环彩灯系统设计的原理

555定时器组成多谐振荡电路提供震荡脉冲,利用计数器产生的脉冲控制译码

器,利用多块译码器可实现LED 灯的多种方式循环显示,增添效果显示。

R1?R2给电容C1充电,使逐渐升高, 当时,3脚(Q 端)输出为高电平。当上升到

超过时,3脚输出仍为高电平。当继续上升到略超过时,RS 触发器状态发生翻转,3

脚输出为低电平,同时C1经 R2及7脚内导通的放电管VT 到地放电,迅速下降。

当下降到略低于时,触发器状态又翻转,3脚输出变为高电平。同时,7脚内导通的

放电管VT 截止,电容 C1再次进行充电,其电位再次上升,一直循环下去。根据,

可以看出,通过改变电位器R2的电阻值的大小,即可以改变振荡器的振荡周期,从

而改变3脚输出高低电平的转换时间,进而改变流水灯的速度。

3 循环彩灯设计方案实施

3.1 循环彩灯单元模块功能及电路设计

图2 震荡电路设计

(1)集成定时器电路主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。

由555定时器和外接元件R1、R2、C构成多谐振荡器,脚2与脚3直接相连。电路没有稳态,仅存在两个暂稳态,电路亦不需要外接触发信号,利用电源通过R1、R2向C充电,以及C通过R2向放电端放电,使电路产生振荡。电容C在

和之间充电和放电,从而在输出端得到一系列的矩形波。

输出信号的时间参数是: T=

=0.7(R1+R2)C

=0.7R2C

其中,为V C由上升到所需的时间,为电容C放电所需的时间。R1=R2=10K,C=47uF,T=1S ,555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、

变换、控制与检测。它的内部电压标准使用了三个5K的电阻,故取名555电路。其电路类型有双极型和CMOS型两大类,两者的工作原理和结构相似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。555和7555

是单定时器,556和7556是双定时器。双极型的电压是+5V~+15V,输出的最大电流可达200mA,CMOS型的电源电压是+3V~+18V。555电路的内部电路它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为和。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。

Vc是控制电压端(5脚),平时输出作为比较器A1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电电路。

(2)延时触发器电路

D触发器(data flip-flop或delay flip-flop)由6个与非门组成,其中G1和G2构成基本RS触发器。电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。

D触发器(data flip-flop或delay flip-flop)由6个与非门组成,其中G1和G2构成基本RS触发器。电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。

图3 D触发器工作原理图

图4 触发器

(3)计数器电路

74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0?Q1?Q2?Q3?CET。合理应用计数器的清零功能和置数功能,一片74LS161可

以组成16进制以下的任意进制分频器。

图5 74L161计数器管脚图

管脚图介绍:

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE

数据输出端Q0~Q3

以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

图6 74LS161时序波形图

表1 74LS161功能表

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

由此可见,当74LS161有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。

图7 顺序脉冲发生器

(4)译码器电路

此电路的作用是将输入信号转换成另一种信号控制彩灯的开关,此电路是用74154 译码器,因为前面用到16进制的加减计数器,所以这里用到的有十四个输出。

电路图如下:

图8 74154译码器管脚图

图9 74154译码器仿真图

3.2 循环彩灯电路参数计算及元器件选择

表2 本系统所用的元器件

3.3 循环彩灯系统整体电路图

图10 循环彩灯系统总体电路图

4 循环彩灯系统设计的仿真实现

4.1 仿真软件介绍

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim 提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

EDA就是“Electronic Design Automation”的缩写技术已经在电子设计领域得到广泛应用。发达国家目前已经基本上不存在电子产品的手工设计。一台电子产品的设计过程,从概念的确立,到包括电路原理、PCB版图、单片机程序、机内结构、FPGA

的构建及仿真、外观界面、热稳定分析、电磁兼容分析在内的物理级设计,再到PCB 钻孔图、自动贴片、焊膏漏印、元器件清单、总装配图等生产所需资料等等全部在计算机上完成。EDA技术借助计算机存储量大、运行速度快的特点,可对设计方案进行人工难以完成的模拟评估、设计检验、设计优化和数据处理等工作。

EDA已经成为集成电路、印制电路板、电子整机系统设计的主要技术手段。美国NI公司(美国国家仪器公司)的Multisim 9软件就是这方面很好的一个工具。而且Multisim 9计算机仿真与虚拟仪器技术(LABVIEW 8)(也是美国NI公司的)可以很好的解决理论教学与实际动手实验相脱节的这一老大难问题。学员可以很好地、很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来。并且可以用虚拟仪器技术创造出真正属于自己的仪表。极大地提高了学员的学习热情和积极性。真正的做到了变被动学习为主动学习。这些在教学活动中已经得到了很好的体现。还有很重要的一点就是:计算机仿真与虚拟仪器对教员的教学也是一个很好的提高和促进。

4.2循环设计仿真实现

图11 系统的仿真结果

循环彩灯在Multisim仿真软件中的运行结果如图所示,此时为第一个灯亮,彩灯可以依次实现点亮,并且在全部点亮后从最后一个依次向前逐渐点亮,以实现循环效果。

5 总结及心得体会

这次为期三周的课程设计实验大大的培养了我的动手能力和同学间的相互合作精神,一开始我看到自己的题目就在思考,如何才能做出一个正确的原理图,这个很

重要,也是所有的工作基础,如果要完成原理图设计工作,这就要求我们有足够的知识储备。这个功夫就在平时了,原理图的设计是理论和实践的交叉点,原理图设计好了之后,我们可以利用仿真软件进行仿真,这样可以验证我们设计的正确性,积累了经验并熟练的掌握了软件的使用方法,最终在不懈地坚持下做完了课程设计,仿真成功,这次课程设计大大的增强了我的独立思考解决问题的能力。此次数控增益放大电器的设计与仿真实验,让我对数控增益放大电器的结构有了进一步的了解。在此次的课程设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。在课程设计的过程中,电路中的每一个环节,电路中各个部分的功能是如何实现的都有所了解。有了这次经历使我懂得了在日后的电路图设计中,应该尽可能的用其进行仿真,这样就可以避免由于粗心所带来的损失,增加可行性。

6参考文献

[1]童诗白.《模拟电子技术基础》[M].北京:高等教育出版社,2005.

[2]臧春华.《电子线路设计与应用》[M].北京:高等教育出版社,2005.

[3]邱关源、罗先觉.《电路》(第五版)[M].北京:高等教育出版社,2005.

[4]阎石.《数字电子基础》[M].北京:高等教育出版社,2006

[5]果照南.电子技术与EDA技术课程设计[M].长沙:中南大学出版社,2010.

[6]周润景,张丽娜.基于Proteus的电路及单片机系统设计与仿真[M].北京:北京航空航天大学出版社,2006.

[7]张克农,宁改娣.数字电子技术基础[M].北京:高等教育出版社,2010.

[8]何建新,高盛东.数字电子技术基础[M].北京:高等教育出版社,2012.

[9]谢嘉奎,宣月清,冯军.电子线路[M].北京:高等教育出版社,2015.

学院分管领导签字:二级学院盖章:

年月日

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

彩灯循环控制系统

电工电子技术课程 设计 题目:彩灯循环控制电路的 设计与制作 团队成员:李静黄林姜怀亮况雨朦刘京京 组长:李静 班级机电技术教育 专业:121班 指导教师:国海 2014年6月

摘要 基于数字技术的循环流水彩灯系统结合了传统的数字电路基础技术和模块化设计的思路。其简易轻巧,外貌美观,能呈现多彩颜色的特点使它在现实生活中得到了广泛的应用。比如十字路口红绿黄的流水交通灯;节假日装扮用的流水彩灯;自动门上装有的自动流水灯等等。所以流水彩灯的设计可以进一步增加我们对流水灯的了解,感受流水灯给我们生活带来的方便。 小组对彩灯循环控制系统的初步框架进行设计,并对一些仿真软件和硬件系统方案进行了选择。在此基础上,又进一步详细介绍了该控制系统的硬件组成、软件仿真结果、控制方法。在软件仿真部分中,本文重点讲述了使用Multisim对数字电路进行仿真及仿真结果。在硬件设计中,将电路设计从主电路为二进制计数器延伸到用移位寄存器来控制。 最后,本文就基于彩灯循环控制系统的特点,进行了归纳与总结。 关键词:流水彩灯循环 Multisim仿真移位寄存器 彩灯循环控制电路的设计与制作 1 结构设计与方案选择 1.1 基于数字电路技术的彩灯循环控制系统结构 现代的数字电路的设计大体上都是三步走的战略:即信号源,控制电路,输出显示。在明白大体的设计思路之后,对没有部分进行模块化的设计。最终可以完成我们的实验目标。本实验的具体设计框图如下: 提供适当的输入源;彩灯循环控制系统主要是实现我们实验目标中的左循环、右循环、全灭和全亮的功能;显示电路主要是输出显示我们的实验结果。 1.2方案选择

1.2.1方案一:74ls193为主控电路 74ls193是双时钟4位二进制可逆的集成计数器,其双时钟可以实现加计数或 减计数,当计数时钟脉冲从UP 输入时,集成芯片实现加法计数过程,计数过程为0000到1111→0000;当计数时钟脉冲从DOWN 输入时,集成芯片实现减法计数过程。CLR 是异步清零端,高电平有效;~LOAD 是异步欲置数控制端,低电平有效;预置数数据输入端包括A 、B 、C 、D,D 为最高位,A 为最低位; 本电路的系统方案框图为: 图2 74ls193为主控流水彩灯电路框图 简要介绍:利用555计时器所组成的多谐振荡器产生频率为1Hz 的脉冲信号,并将信号送到74ls193的时钟,将清零端CLR 与QD 相连,在进行加计数时,数据到了1000即8时,系统清零而回到0000,即0。在进行减计数时,四输入与非门的输入与QA 、QB 、QC 、QD 相连,输出与置位端~LOAD 相连,DCBA 接0111,即出现输出为1111时,电路回到0111即7,译码器将74ls193的输出地址转换为高低电平并使LED 发光。 仿真电路图如下图所示:

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

循环彩灯的设计与制作

湖南工业大学课程设计 资电气与信息工程 料袋 学院(系、部) 2012-2013 学年第一学 期 课程名称电子设计与制作指导教师xx职称讲师 学生姓名x专业班级电信093学号094012003xx 题目循环彩灯的设计与制作 成绩起止日期2012 年11月19日~2012年11月30 日

湖南工业大学 课程设计任务书 2012-2013学年第一学期 电气与信息工程学院(系、部)电子信息工程专业093班级 课程名称:设计题目: 电子设计与制作循环彩灯的设计与制作 完成期限:2012 年11月19日~2012年11月30日共2

指导教师(签字):年月日 系(教研室)主任(签字):年月

日 电子技术课程设计 设 计说明 书 循环彩灯的设 计与制作 起止日期: 2012 年 11 月 19 日~2012 年 11 月 30 日 学 生姓 名 班 级 学 号 成 绩 指 导教 师 ( 签 字 ) xx 电 信 093 xx

电气与信息工程学院(部) 2012年11 月2 日 1、设计任务及要求 要求设计一个循环彩灯,采用8个LED,实现顺序/逆序流水,LED交替频率可调。自行设计电源部分,为电路板提供直流电源。自行设计信号发生部分,为电路板提供工作频率。根据技术指标进行循环彩灯总体方案设计,说明设计思路,选择相应的元器件型号,列出元器件清单,介绍主要芯片的功能,介绍各具体单元电路设计,画出完整的电路原理图、PCB图。 2、设计思路 循环彩灯主要由桥式变压器、整流电路、滤波电路、稳压电路、555定时器、74ls193计数器、3-8译码器等部分组成。首先是将220V交流电通过变压器转换成较小的交流电,通过桥式整流将电压加到直流负载上从而输出直流电压,通过滤波稳压从而实现其稳定的5V直流电压,使555定时器通过调节滑动变阻器实现秒脉冲震荡器,加到计数器的加法或者减法脉冲端口实现8进制计数,通过译码器从而实现循环彩灯功能。 3、各单元电路说明 1>开关模块 通过可调式电阻来控制电压输入,接通时发光二极管D9点亮。 2>电源电路模块

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

循环彩灯电路设计报告

《数字电子技术基础》课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言 (1) 第一部分:设计方案设计 (2) 1.1方案选 择: (2) 1.2功能设计及分析 (2) 1.2.1 时钟信号功能设计............................................... 错误!未定义书签。 1.2.2 花型控制功能设计 (2) 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说明 (4) 第二部分:硬件调试总结 (5) 2.1 元器件清单及说明 (5) 2.2 硬件调试 (9) 第三部分:总结 (10) 3.1 设计小结 (10) 3.2 心得体会 (11) 参考文献 (11) 附录 (12)

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

单片机彩灯循环

《单片机原理及应用》课程设计报告 题目:彩灯循环系统 专业:电子信息工程 班级: 学号: 姓名: 指导教师:

一.实验目的: 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二.实验内容: 通过8051单片机实现彩灯循环 三.实验过程: 1.引言 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,便宜的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,。。 本方案提出了一种基于8051单片机的彩灯控制方案,实现对LED彩灯的控制。本方案以8051单片机作为主控核心,在主控模块上设有3个按键和8个码LED显示灯,根据需要可以编写若干种亮灯模式,利用其内部定时器T0实现一个基本单位时间为1 ms的定时中断,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2.8051单片机引脚功能介绍 首先我们来连接一下单片机的引脚图,如果,具体功能在下面都有介绍。单片机的40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。 ⒈电源: ⑴ VCC - 芯片电源,接+5V; ⑵ VSS - 接地端; ⒉时钟:XTAL1、XTAL2 - 晶体振荡电路反相输入端和输出端。

循环彩灯系统设计讲解

课程设计报告 题目:循环彩灯系统设计 课程名称:电子技术课程设计学生姓名: 学生学号: 年级:15级 专业:电子信息工程 班级:1班 指导教师: 电子工程学院制 2017年3月

目录 1设计的任务与要求 (1) 1.1 课程设计的任务 (1) 1.2 课程设计的要求 (1) 2 循环彩灯设计方案制定 (1) 2.1 循环彩灯设计的技术方案 (1) 2.2 循环彩灯系统设计的原理 (1) 3 循环彩灯设计方案实施 (2) 3.1 循环彩灯单元模块功能及电路设计 (2) 3.2 循环彩灯电路参数计算及元器件选择 (8) 3.3 循环彩灯系统整体电路图 (9) 4 循环彩灯系统设计的仿真实现 (9) 4.1 仿真软件介绍 (9) 4.2循环设计仿真实现 (10) 5 总结及心得体会 (10) 6参考文献 (11)

循环彩灯系统设计 电子工程学院 电子信息工程专业 1设计的任务与要求 1.1 课程设计的任务 利用Multisim 仿真软件和电子元器件,设计并制作一个循环彩灯系统。 1.2 课程设计的要求 由八只LED 灯组成的彩灯系统,要以一定的花型循环,循环间隔可自行定义。 2 循环彩灯设计方案制定 2.1 循环彩灯设计的技术方案 图1原理框图 2.2 循环彩灯系统设计的原理 555定时器组成多谐振荡电路提供震荡脉冲,利用计数器产生的脉冲控制译码 器,利用多块译码器可实现LED 灯的多种方式循环显示,增添效果显示。 R1?R2给电容C1充电,使逐渐升高, 当时,3脚(Q 端)输出为高电平。当上升到 超过时,3脚输出仍为高电平。当继续上升到略超过时,RS 触发器状态发生翻转,3 脚输出为低电平,同时C1经 R2及7脚内导通的放电管VT 到地放电,迅速下降。 当下降到略低于时,触发器状态又翻转,3脚输出变为高电平。同时,7脚内导通的 放电管VT 截止,电容 C1再次进行充电,其电位再次上升,一直循环下去。根据, 可以看出,通过改变电位器R2的电阻值的大小,即可以改变振荡器的振荡周期,从 而改变3脚输出高低电平的转换时间,进而改变流水灯的速度。

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

16路循环彩灯设计报告

循环彩灯控制电路的设计 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim 软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S 显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

彩灯循环控制系统的设计与实现

彩灯循环控制系统的设计与实现 【摘要】本文主要就是结合当下对LED灯的需求,展望了现在的LED灯被应用的情况,提出了现在LED彩灯使用中在硬件层面就固定的灯的花型,不能灵活变化使用的问题。提出了一个彩灯循环控制的方案。想要通过计算机程序语言,结合单片机控制来设计一个彩灯循环控制系统。想要通过硬件的合理选择,还有程序的合理选择来完成一个可以灵活使用的彩灯循环控制系统。本文通过设计背景的简述开始引入。然后主要就彩灯循环控制系统的硬件部分的设计使用和选择,还有系统部分的选择使用作出介绍。最后做了仿真,并根据仿真得出结果。最后就整个设计做了总结。 【关键词】单片机;LED灯;循环控制系统;C语言; 引言 我们现在的城市里,越来越多的使用大量的彩灯,或者一些新型装饰材料来美化城市。正是因为我们的国家现在开始进入到一个全新的发展时期,城市居民衣食温饱的问题已经逐渐的解决,而人们对于文化的需求,对于美的需求却在越来越高。正是因为这样这样的原因,我们的LED灯就开始大量的使用于我们的城市装饰中。特别是晚上,彩灯环绕的城市,都是靠我们大量的LED灯在发挥着巨大的作用。 1、彩灯循环控制系统的设计项目的分析 1.1循环彩灯控制系统的需求背景 我们现在的城市运行中LED灯已经在发挥着巨大的作用。比如我们的证劵交易市场的大厅里,所有的大屏幕都是用LED灯组成的大屏幕,我们的高速公路上很多的电子告示牌也是LED灯大屏幕,甚至我们现在公交车的尾部同样安装了一些小型的LED灯广告牌,我们的大型公交站,交通信号灯,街边的广告牌,城市高架桥上的提示灯,装饰灯,也都是使用我们的LED灯来组成的。其实现在个人使用的LED灯装饰也不少了,很多人的汽车,摩托车,电动车都会使用一些LED灯的装饰,所以LED灯的需求还在越来越大。我们的生活已经离不开这样的一种产品了,它用它的诸多的优势来给我们的生活提供越来越多的便利。正是因为我们的LED灯有很多的优势,比如LED的使用就非常的符合现在越来越提倡的绿色环保理念。这样的的产品又因为造价很低,使用的成本也很低就让我们越来越多的场合都可以使用它。而且现在的LED灯的使用寿命很长,完全符合我们的提倡的可持续发展的理念。但是现在多出情况下的LED装饰灯,都直接是根据不同的需求,不同的环境,直接就把灯的发光方式固定在了硬件的层面,没有办法灵活的根据不同的场合而变化出不同的效果,很多的类似产品没有使用到如今的计算机程序控制。所以我们就希望更多的LED产品能够越来越多的使用到计算机编程,而实现这些彩灯的灵活变化,也就能更能够体现出它更多的优势。能够给我们带来更多的便利。 1.2循环彩灯控制系统的功能分析和设计方案简述 1.2.1循环彩灯控制系统的设计想法 本设计的想法,就是想通过单片机,让LED彩灯实现一些灵活的变化。主要的就是想要通过Keil环境下,用计算机C语言编程实现彩灯的循环控制。在基于STC89C52单片机的基础之上,使用C语言进行编程,控制彩灯实现一些不同的图案,还有不同的时间有不同的变化。希望通过简化电路,提高彩灯的使用效率,也希望让先进的计算机技术能够融合到现在的彩灯控制中。真正的实现一种彩灯

彩灯循环控制器设计

电子技术课程设计说明书题目:彩灯循环控制器(B) 学生姓名:曹文天 学号:200806010211 院(系):电信学院 专业:电气082 指导教师:张震强 2010 年 12 月 10 日

这次课程设计的题目是彩灯循环控制器。题目的要求是:(1)采用一个半导体数码管作为控制器的显示器,能够自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、1、2、3、4、5、6、7(音乐符号数列)和0、2、4、6、8(偶数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 彩灯控制器主要是通过计数器来实现的。这个彩灯控制电路的实质是要产生一系列的数列,包括自然数列、奇数列、偶数列、音乐数列,然后通过一个七段数码管显示出来。这些数列的生成均是通过不断给一个74HC160脉冲,使其从0到9计数并不断循环。再用另一片74HC160作为循环控制,把它设置成四循环计数器,不断输出00到11。当其输出00时为自然数列输出,01时为奇数列输出,10 时为偶数列输出,11 时为音乐数列输出。0到9的数列产生后在不同状态下通过两片74HC153置成所需数列通过CD4511译码连接数码管输出。另外,按照上述方法产生的奇、偶数列相邻两个数显示的时间是自然数列及音乐数列的二倍。则要用JK触发器加74HC153对自然数列及音乐数列的脉冲进行二分频,使得四种数列相邻两个数显示的时间相同。最后脉冲的产生是通过555电路组成多谐振荡器来产生的。我设置脉冲频率为2HZ,这样经分频后在数码管上显示的数字为一秒变一下。最后要把它们有效的结合起来联合工作,就可以实现目的功能。

数字电子四色花样彩灯控制器课程设计

课程设计任务书
学年第 学期
学 专
院 : 业: 学
信息工程 通信工程 号 :
学 生 姓 名: 课程设计题目:
多功能彩灯控制器设计
起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任 :
2013 年 12 月 25 日-2014 年 01 月 8 日 信息工程学院
邬春明
下达任务书日期 :2013 年 12 月 15 日

课 程 设 计 任 务 书
1.设计目的:
加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路 设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论 文)奠定良好的基础。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :
设计一个四花样自动切换的彩灯控制器。八个一组的彩灯按多种不同的方式显示, 组成不同的视觉效果。要求实现: (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从 1~8 从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕 :
1、课程设计说明书(论文) 2、电路的计算机仿真(EWB 等,仿真时可用仿真工具中的信号源) 3、答辩
4.主要参考文献:
[1] [2] [3] [4] [5] 周常森. 电子电路计算机仿真技术.山东科技出版社,2006.02
5.设计成果形式及要求:

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

单片机控制的循环彩灯控制系统的设计实习报告

一、实习目的《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。二、实习内容利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。三、设计过程:1、能输出4种花型,并能由人工进行花型的切换。(按键) *利用单片机8031的P1.7做总开关,P1.0、P1.1做花型控制开关,P1.5、P1.6做调速开关。实习花型:(1)使彩灯从右到左逐一循环点亮。(2)使彩灯交替闪烁。(3)使彩灯从右到左逐一点亮,当全部点亮后同时闪烁一次。(4)使彩灯从中间到两边顺序点亮,直至全部点亮;再将彩灯从两边到中间熄灭,直至全部熄灭。 2、循环彩灯的循环速度可调。 *P1.5做加速控制开关,P1.6做减速控制开关。3、按键要求:(1)启动/

暂停。按动一次启动,再按一次暂停。是一个双态 转换键*“1”---启动,“0”---停止。(2)花型变化。 按一次,立即停止当前花型的显示,转换为下一种 花型。4种花型可以循环切换。表1.1 拨动开关 与对应的花型 P1.0 P1.1 花型 0 0 花型1 1 0 花型 2 0 1 花型3 1 1 花型4 (3)速度+。按键一 次,速度略加快一点。速度-。按键一次,速 度略减慢一点。表1.2 拨动开关功能表P1.5 P1.6 P1.7 功能功能功能 0 0 0 无加速无减速 停止 1 1 1 加速减速启动 4在LED显示器上显 示相应的花型号。5、显像管显示相应数据 花型显像管 A 花型1 B 花型2 C 花型3 D 花型4 6、利用软件子程序或单片机 内部的定时/计数器实现定时功能,以模拟循 环速度的基准值。四、实习器材TDN86/51 二合一微机实验教学系统1台,配套计算机 一台,连接导线若干五、实验原理图: 如上图所示,8031的P0口接8155的AD0-7口,P2.7和P2.6 分别接了8155的片选线和I0口,而8031的P1口做开关接口, 8155的PA和PC接显像管的字位和字形,PB接LED。六、程

相关文档
最新文档