实验1--门电路的功能测试

实验1--门电路的功能测试
实验1--门电路的功能测试

实验一门电路的功能测试

1.实验目的

(1)熟悉数字电路实验装置,能正确使用装置上的资源设计实验方案;

(2)熟悉双列直插式集成电路的引脚排列及使用方法;

(3)熟悉并验证典型集成门电路逻辑功能。

2.实验仪器与材料

(1)数字电路实验装置1台;

(2)万用表1块

(3)双列直插集成电路芯片74LS00、74LS86、74LS125各1片,导线若干。

3.知识要点

(1)数字电路实验装置的正确使用

TPE-D6A电子技术学习机是一种数字电路实验装置,利用装置上提供的电路连线、输入激励、输出显示等资源,我们可以设计合理的实验方案,通过连接电路、输入激励信号、测试输出状态等一系列实验环节,对所设计的逻辑电路进行结果测试。该实验装置功能模块组成如图1.1所示。

图中①为集成电路芯片区,有 15个IC插座及相应的管脚连接端子,其中A13是8管脚插座,A11、A12是14管脚插座,A1、A2、A3、A7、A8是16管脚插座,A4、A5是18管脚插座,A9、A14、A16、A7、A8是20管脚插座,A10、A15是24管脚插座。根据双列直插式集成电路芯片的管脚数可以选择相同管脚数的IC插座,并将集成电路芯片插入IC插座(凹口侧相对应),可以通过导线将管脚引出的接线端相连,实现电路的连接。

图中②为元件区,内有多个不同参数值的电阻、电容以及二极管、三极管、稳压管、蜂鸣器等元件可供连接电路时选择。

图中③为电位器区,内有1k、10k、22k、100k、220k阻值的电位器等元件可供连接电路时选择。

图中④为直流稳压电源区,是装置内部的直流稳压电源提供的+5V、-5V、+15V、-15V 电源输出引脚,可以为有源集成芯片提供工作电源电压。

图中⑤为逻辑电平输入区,内有8个开关S0~ S7,在测试电路逻辑功能时,可以提供高、低逻辑电平作为激励输入信号。图1.2为其内部原理电路。

+V

CC

H L H

L

H

L

H

L

H

L

H

L

H

L

H

L

S7S6S5S4S3S2S1

S0

图1.2

图中⑥为单脉冲输入区,在测试电路逻辑功能时,可以由按键手动单拍提供一个单脉冲作为激励输入信号,可以由不同端子选择正脉冲或是负脉冲。

图中⑦为可调连续脉冲输入区,在测试电路逻辑功能时,可以由该端子提供连续脉冲作为激励输入信号。连续脉冲的频率可以通过开关Ⅰ、Ⅱ、Ⅲ档位粗调和旋钮精调来确定。

图中⑧为固定脉冲输入区,可以提供1Hz、1kHz、1MHz三组连续脉冲,作为测试电路逻辑功能时的输入激励信号。

图中⑨为电平显示输出区,内有8个发光二极管D0~D7,在测试电路逻辑功能时,可以作为输出部件指示输出信号的逻辑状态是高电平还是低电平。图1.3为其内部原理电路。

图1.3

图中⑩为数码段位显示输出区,内有4个数码管,每个数码管的a、b、c、d、e、f、g、dp端由被测逻辑电路输出的段选信号直接驱动。

图中?为数码管译码显示输出区,有4个数码管,由于装置内部每个数码管连接有显示译码器,所以,每个数码管的显示由被测电路输出的4位二进制代码信号驱动。

图中?为逻辑笔测试输出区,将被测电路的输出接至该区的测试插口,被测电路的三态输出情况可以由红、绿、黄三个发光二极管分别指示出来。

图中?为实验装置交流电源开关。

(2)双列直插式TTL集成电路的正确使用

74LS00、74LS86、74LS125都是具有14个管脚的双列直插式TTL集成电路。其管脚排列顺序如图1.4所示。引脚的识别方法是:将集成芯片正面(有印刷的型号)对准使用者,以凹口侧小标志点“?”为起始脚1,逆时针方向前数 1,2,3-----N脚,其中,7号引脚为接地引脚,14号引脚为+5V电源输入引脚,其余引脚为逻辑电路输入信号、输出信号引脚,使用时根据功能查找IC手册可知各管脚功能。例如,74LS00是四—2输入与非门,图1.5为74LS00管脚示意图。74LS86是四—2输入异或门,图1.6为74LS86管脚示意图。74LS125是四—三态同相输出缓冲器,图1.7为74LS125管脚示意图。

基本门电路实验报告处理

43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 2016.10.7 专业班级: 姓 名: 学 号: 一、 实验目的 1.了解TTL 门电路的原理,性能好使用方法,验证基本门电路逻辑功能。 2.掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+=' n n n B A C ='

A B F 三、实验原理图 图3-2与门电路 图3-3 异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) (2)半加器实验结果 (3) 表决电路结果 =1A B F

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数电实验__门电路逻辑功能及测试

一、实验目的 1、熟悉门电路逻辑功能。 2、学习数字电路实验的一般程序及方法。 3、熟悉数字电路设备的使用方法。 二、实验仪器及材料 1、数字万用表 2、器件: 74LS00 二输入端四“与非”门2片 4LS20 四输入端二“与非”门1片 74LS86 二输入端四“异或”门1片 三、预习要求 1、复习门电路的工作原理及相应的逻辑表达式。 2、熟悉所用集成电路的引脚位置及各引脚用途(功能)。 四、实验内容 实验前先检查设备的电源是否正常。然后选择实验用的集成电路,按设计的实验原理图(逻辑图)接好连线,特别注意V CC及地线(GND)不能接错。线接好后经检查无误方可通电实验。实验中改动接线须断开电源,改接好线后再通电实验。 1、测试门电路逻辑功能 ⑴、选用四输入端二“与非”门芯片74LS20一片,按图1.1接线。输入端接四只电平开关(电平开关输出插口),输出端接任意一个电平显示发光二极管。 ⑵、将电平开关按表1.1置位,分别测输出电压及逻辑状态。 2、异或门逻辑功能测试 ⑴、选二输入端四“异或”门芯片74LS86一片,按图1.2接线。输入端A、B、C、D接四只电平开关,E点、F点和输出端Y分别接三只电平显示发光二极管。 ⑵、将电平开关按表1.2置位,将结果填入表中。

4、用“与非”门组成其它门电路并测试验证⑴、组成“或非”门。用一片二输入端四“与非”门芯组成一个“或非”门:Y=A+B,画出逻辑电路图,测试并填表1.5。 ⑵、组成“异或”门。 A、将“异或”门表达式转化为“与非”门表达式。 B、画出逻辑电路图。 C、测试并填表1.6。

思考题: (1)、怎样判断门电路的逻辑功能是否正常? 答:门电路功能正常与否的判断:(1)按照门电路功能,根据输入和输出,列出真值表。(2)按真值表输入电平,查看它的输出是否符合真值表。(3)所有真值表输入状态时,它的输出都是符合真值表,则门电路功能正常;否则门电路功能不正常。 (2)、“与非”门的一个输入端接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 答:与非门接髙电平则其他信号可以通过,接低电平则输出恒为0,与非门的真值表是“有0出1,全1出0”。所以一个输入接时钟,就是用时钟控制与非门,当时钟脉冲为高电平时,允许信号通过,为低电平时关闭与非门。 (3)、“异或”门又称可控反相门,为什么? 答:“异或”函数当有奇数个输入变量为真时,输出为真! 当输入X=0,Y=0 时输出S=0 当输入X=0,Y=1 时输出S=1 0代表假1代表真 异或门主要用在数字电路的控制中! 实验小结 由于是第一次数字电路动手试验,操作不是很熟悉,搞得有些手忙脚乱,加之仪器有一点陈旧,电路板上有些地方被烧过,实验中稍不留神接到了烧过的电路板就很难得出正确的结果。 本次试验加深了我对门电路逻辑功能的掌握,对数字电路实验的一般程序及方法有了一定的了解,对数字电路设备的使用方法也有了初步掌握。 在以后的实验中,我会好好预习,认真思考,实验的时候小心仔细,对实验结果认真推敲,勤于思考勤于动手,锻炼自己的动手能力。

数字实验一 门电路逻辑功能与测试

数字实验一门电路逻辑功能与测试

实验一门电路逻辑功能及测试 一、实验目的: 1.熟悉常用集成门电路的逻辑功能及测试方法。 2. 熟悉各种门电路的管脚排列,进一步熟悉仿真软件和数字试验箱的使用。 3.学习利用与非门组成其它逻辑门电路并验证其逻辑功能。 二、实验仪器及设备 1.数字电路实验箱 2.万用表 3.集成芯片: 74LS00 2输入端四与非门 2片 74LS86 2输入端四异或门 1片 三、实验原理 1. TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic )简称TTL电路。54 系列的TTL 电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55—±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74)系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 2. 集成逻辑门有许多种,如:与门、或门、非门、与非门、或非门、与或非门、异或门等等。但其中与非门用途最广,74LS00是“TTL系列”中的与非门,是四-2输入与非门电路,即在一块集成电路内含有四个独立的与非门。每个与非门有2个输入端。 3. 利用与非门可以组成其它许多逻辑门。要实现其它逻辑门的功能,只要将该门的逻辑函数表达式化成与非-与非表达式,然后用多个与非门连接起来就可以达到目的。例如,要实现或门Y=A+B,根据摩根定律,或门的逻辑函数表

门电路实验报告

实验报告实验课题:门电路 实验目的: 常用腔I ri m路邂样功能扯其精试n也. 订件电路蔓圖实脸箱的结构、茶本功能和僅用有氐 掌握电路连接、排除故障和调试的方法。 实验仪器与器材: 1、数字电子技术实验系统 2、741^00典2输入与非门「I片 74LSI1三3输入与门1片 74LS04反和器 1片 741SH6 V^2输人异或门1片74LS32四2输入界或门1片 实验内容及步骤: TTL门电路逻辑功能验证 按图1-1在实验系统(箱)上找到相应的门电路。并把输入端按实验箱的逻辑开关,输出端按发光二极管如图1-2所示TTL与门电路逻辑功能验证接线图。 按状态表1-1中“与门”一栏输入A、B(0,1信号,观察输出结果()看LED备用发光二极管,如灯亮为1,灯灭为0)填入表1-1中,并用万用表测量0、1电平值。 按同样的方法,验证“或门” 74LS32…等的逻辑功能,并把结果填入表1-1中

图1-2TTL门电路实验流程图 实验数据记录及处理结果: 数据了记录自行完成 理论知识挺弄拐的.们实劭实行起來的确密纠斛的*做了好爭次总定有轲題?焉来

懂得了从电路图到真实电路的基 发現电线育 廉足坏抻的.做电蹬实检.还a 需啖多些经检呐? 五、实验总结 通过这次试验,我了解了用仪器拼接电路的基本情况。 本过程。在连接的时候,很容易因为线或者门出现问题。 H 次实验除珅下杲很豆杂”程是线路tt 较離连?实验所用到的关锭器件也不龙好找。 理论知识挺容易的+ (I 」实际实杠血來时例侥纠塔的.做了好茲挟总是育何遥*门来 发现电线件一棍呈坏抻的.做电賂实龄T 还定斋味幸映绅輪呐=

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

实验1门电路的功能测试

实验一门电路的功能测试 1.实验目的 (1)熟悉数字电路实验装置,能正确使用装置上的资源设计实验方案; (2)熟悉双列直插式集成电路的引脚排列及使用方法; (3)熟悉并验证典型集成门电路逻辑功能。 2.实验仪器与材料 (1)数字电路实验装置1台; (2)万用表1块 (3)双列直插集成电路芯片74LS00、74LS86、74LS125各1片,导线若干。 3.知识要点 (1)数字电路实验装置的正确使用 TPE-D6A电子技术学习机是一种数字电路实验装置,利用装置上提供的电路连线、输入激励、输出显示等资源,我们可以设计合理的实验方案,通过连接电路、输入激励信号、测试输出状态等一系列实验环节,对所设计的逻辑电路进行结果测试。该实验装置功能模块组成如图1.1所示。 图中①为集成电路芯片区,有15个IC插座及相应的管脚连接端子,其中A13是8管脚插座,A11、A12是14管脚插座,A1、A2、A3、A7、A8是16管脚插座,A4、A5是18管脚插座,A9、A14、A16、A7、A8是20管脚插座,A10、A15是24管脚插座。根据双列直插式集成电路芯片的管脚数可以选择相同管脚数的IC插座,并将集成电路芯片插入IC插座(凹口侧相对应),可以通过导线将管脚引出的接线端相连,实现电路的连接。 图中②为元件区,内有多个不同参数值的电阻、电容以及二极管、三极管、稳压管、蜂鸣器等元件可供连接电路时选择。 图中③为电位器区,内有1k、10k、22k、100k、220k阻值的电位器等元件可供连接电路时选择。 图中④为直流稳压电源区,是装置内部的直流稳压电源提供的+5V、-5V、+15V、-15V 电源输出引脚,可以为有源集成芯片提供工作电源电压。

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

实验一TTL各种门电路功能测试

实验序号实验题目 TTL各种门电路功能测试 实验时间实验室 1.实验元件(元件型号;引脚结构;逻辑功能;引脚名称) 1.SAC-DS4数字逻辑实验箱1个 2.数字万用表1块 3.74LS20双四输入与非门1片 4.74LS02四二输入或非门1片 5.74LS51双2-3输入与或非门1片 6.74LS86 四二输入异或门1片 7.74LS00四二输入与非门2片 (1)74LS20引脚结构及逻辑功能(2)74LS02引脚结构及逻辑功能 (3)74LS51引脚结构及逻辑功能(4)74LS86引脚结构及逻辑功能 (5)74LS00引脚结构及逻辑功能

2.实验目的 (1)熟悉TTL各种门电路的逻辑功能及测试方法。(2)熟悉万用表的使用方法。 3.实验电路原理图及接线方法描述: (1)74LS00实现与电路电路图 (2)74LS00实现或电路电路图

(3)74LS00实现或非电路电路图 (4)74LS00实现异或电路

4.实验中各种信号的选取及控制(电源为哪些电路供电;输入信号的分布位置;输出信号的指示类型;总结完成实验条件) 5.逻辑验证与真值表填写 (1)74LS00实现与电路电路图逻辑分析 逻辑运算过程分析: 1 21 Y=AB Y=Y=AB=AB 真值表: (2)74LS00实现或电路电路图 逻辑运算过程分析: 1 2 312 Y=AA=A Y=BB=B Y=Y Y=AB=A+B=A+B 真值表: 输入输出 A B 2 Y 0 0 0 0 1 0 1 0 0 1 1 1 输入输出 A B 3 Y 0 0 0 0 1 1 1 0 1 1 1 1

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

实验一基本门电路的逻辑功能测试

实验一基本门电路的逻辑功能测试 一、实验目的 1、测试与门、或门、非门、与非门、或非门与异或门的逻辑功能。 2、了解测试的方法与测试的原理。 二、实验原理 实验中用到的基本门电路的符号为: 在要测试芯片的输入端用逻辑电平输出单元输入高低电平,然后使用逻辑电平显示单元显示其逻辑功能。 三、实验设备与器件 1、数字逻辑电路用PROTEUS 2、显示可用发光二极管。 3、相应74LS系列、CC4000系列或74HC系列芯片若干。 四、实验内容 1.测试TTL门电路的逻辑功能: a)测试74LS08的逻辑功能。(与门)000 010 100 111 b)测试74LS32的逻辑功能。(或门)000 011 101 111 c)测试74LS04的逻辑功能。(非门)01 10 d)测试74LS00的逻辑功能。(两个都弄得时候不亮,其他都亮)(与非门)(如果只接一个的话,就是非门)001 011 101 110 e)测试74LS02(或非门)的逻辑功能。(两个都不弄得时候亮,其他不亮)001 010 100 110 f)测试74LS86(异或门)的逻辑功能。 2.测试CMOS门电路的逻辑功能:在CMOS 4000分类中查询 a)测试CC4081(74HC08)的逻辑功能。(与门) b)测试CC4071(74HC32)的逻辑功能。(或门) c)测试CC4069(74HC04)的逻辑功能。(非门) d)测试CC4011(74HC00)的逻辑功能。(与非门)(如果只接一个的话,就是非门)

e)测试CC4001(74HC02)(或非门)的逻辑功能。 f) 测试CC4030(74HC86)(异或门)的逻辑功能。 五、实验报告要求 1.画好各门电路的真值表表格,将实验结果填写到表中。 2.根据实验结果,写出各逻辑门的逻辑表达式,并分析如何判断逻辑门的好坏。 3.比较一下两类门电路输入端接入电阻或空置时的情况。 4.查询各种集成门的管脚分配,并注明各个管脚的作用与功能。 例:74LS00 与门 Y=AB

实验三、基本门电路设计

实验设计部分: 由于1在预习时已经做过设计,故在此省略该步骤。 2、用与非门实现Y=A’B’+CD+ABC+BD. 由于Y=A’B’+CD+ABC+BDY =((A’B’)’(CD)’(ABC)’(BD)’)’ 由此连接电路得: 测试结果为: 3、设计一个奇偶校验器,使得三输入中“1”的个数为奇数时发出报警信号。 由题意得输出为1是报警,0是不报警,逻辑真值表为: 即: Y=A’B’C+A’BC’+AB’C’+ABC =C(A⊕B)’+C’(A⊕B) =C⊕B⊕A 所以逻辑电路图为:

测试结果为 4、设计一个三位二进制数大小判别器当3

所以逻辑电路图为: 测试结果为: 说明:其中ABC分别为题中A2A1A0 5、由M端控制的组合逻辑电路,当M=1时,实现同或功能,当M=0时实现异或功能。 由要求得: Y=M(A⊙B)+M’(A⊕B) =M(A⊕B)’+ M’(A⊕B) =M⊕A⊕B 其逻辑电路图为:

测试值为: 说明:其中C 为题中M 6、设计一个奇偶判别器,当二进制四输入BCD 码为奇数时灯亮,为偶数是灯灭。 F=1表示灯亮,F=0表示灯灭 由要求得真值表为: 由卡洛图得: 所以 :F=D 可如此实现:F= D(A+B+C+D) =D(A ’B ’C ’)’

门电路逻辑功能及测试实验报告记录

门电路逻辑功能及测试实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

深圳大学实验报告实验课程名称:数字电路实验 实验项目名称:门电路逻辑功能及测试学院:信息工程学院 报告人:许泽鑫学号:201 班级:2班同组人: 指导教师:张志朋老师 实验时间:2016-9-27 实验报告提交时间:2016-10-11

一、实验目的 (1)熟悉门电路逻辑功能,并掌握常用的逻辑电路功能测试方法。 (2)熟悉RXS-1B数字电路实验箱。 二、方法、步骤 1.实验仪器及材料 1)RXS-1B数字电路实验箱 2)万用表 3)器件 74LS00四2输入与非门1片 74LS86四2输入异或门1片 2.预习要求 1)阅读数字电子技术实验指南,懂得数字电子技术实验要求和实验方 法。 2)复习门电路工作原理及相应逻辑表达式。 3)熟悉所用集成电路的外引线排列图,了解各引出脚的功能。 4)学习RXB-1B数字电路实验箱使用方法。 3.说明 用以实现基本逻辑关系的电子电路通称为门电路。常用的门电路在逻辑功能上有非门、与门、或门、与非门、或非门、与或非门、异或门等几种。 非逻辑关系:Y=A 与逻辑关系:Y=A B + 或逻辑关系:Y=A B 与非逻辑关系:Y=A B + 或非逻辑关系:Y=A B + 与或非逻辑关系:Y=A B C D ⊕ 异或逻辑关系:Y=A B

三、实验过程及内容 任务一:异或门逻辑功能测试 集成电路74LS86是一片四2输入异或门电路,逻辑关系式为1Y=1A ⊕1B ,2Y=2A ⊕2B , 3Y=3A ⊕3B ,4Y=4A ⊕4B ,其外引线排列图如图1.3.1所示。它的1、2、4、5、9、10、12、13号引脚为输入端1A 、1B 、2A 、2B 、3A 、3B 、4A 、4B ,3、6、8、11号引脚为输出端1Y 、2Y 、3Y 、4Y ,7号引脚为地,14号引脚为电源+5V 。 (1)将一片四2输入异或门芯片74LS86插入RXB-1B 数字电路实验箱的任意14引脚的IC 空插座中。 (2)按图1.3.2接线测试其逻辑功能。芯片74LS86的输入端1、2、4、5号引脚分别接至数字电路实验箱的任意4个电平开关的插孔,输出端3、6、8分别接至数字电路实验箱的电平显示器的任意3个发光二极管的插孔。14号引脚+5V 接至数字电路实验箱的+5V 电源的“+5V ”插孔,7号引脚接至数字电路实验箱的+5V 电源的“⊥”插孔。 (3)将电平开关按表1.3.1设置,观察输出端A 、B 、Y 所连接的电平显示器的发光二极管的状态,测量输出端Y 的电压值。发光二极管亮表示输出为高电平(H ),发光二极管不亮表示输出为低电平(L )。把实验结果填入表1.3.1中。 图1.3.1 四2输入异或门74LS86外引线排列图 1A 1B 1Y 2A 2B 74LS86 V CC 4B 4A 4Y 3B 4A 3Y 1 2 3 4 5 14 13 12 11

组合逻辑电路实验与解答

湖北第二师范学院实验 组合逻辑电路 物机学院-11应用物理学 一、实验目的 1.掌握用与非门组成的简单电路,并测试其逻辑功能。 2.掌握用基本逻辑门设计组合电路的方法。 二、实验原理 数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。一般设计步骤如下: 1.首先根据实际情况确定输入变量、输出变量的个数,列出逻辑真值表。 2.根据真值表,一般采用卡诺图进行化简,得出逻辑表达式。 3.如果已对器件类型有所规定或限制,则应将函数表达式变换成与器件类型相适应 的形式。 4.根据化简或变换后的逻辑表达式,画出逻辑电路。 5.根据逻辑电路图,查找所用集成器件的管脚图,将管脚号标在电路图上,再接线 验证。 三、实验仪器及器件 数字实验箱一台,集成芯片74LS00一块、74LS20三块,导线若干。 四、实验内容 1.用非与门实现异或门的逻辑功能 (1) 用集成电路74LS00和74LS20(74LS20管脚见图1所示),按图2连接电路(自己设计接线脚标),A、B接输入逻辑,F接输出逻辑显示,检查无误,然后开启电源。 图1 74LS20集成电路管脚图 (2) 按表1的要求进行测量,将输出端F的逻辑状态填入表内.

表1 输出真值表 图 2-电路接线图 (3) 由逻辑真值表,写出该电路的逻辑表达式 F= B A B A ?+? 2. 用与非门组成“三路表决器” (1) 用74LS00和74LS20组成三路表决器,按图3连接电路(自己设计接线脚标),A ,B ,C 接输入逻辑,F 接输出逻辑显示,检查无误,然后开启电源。 (2) 按表2的要求进行测量,将输出端F 的逻辑状态填入表内。 A 表 2输出真值表 & B F C 图 3 电路接线图 3. 设计一个“四路表决器”逻辑电路并测试 设计一个四变量的多路表决器。当输入变量A 、B 、C 、D 有三个或三个以上为1时,输出F 为1;否则输出F 为0。 (1)根据设计要求列出表3四人表决器真值表。 (2)用卡诺图化简逻辑函数,写出逻辑 表达,F= D C B D C A D B A C B A ??+??+??+??. (3)用74LS20与非门实现“四人表决器”,画出实验电路,标出接线脚并测试,验证所列真值表。 输 入 输 出 A B F 0 0 0 0 1 1 1 0 1 1 1 0 输 入 输 出 A b CF 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & && & & & A B F

逻辑门电路实验报告(精)

HUBEI NORMAL UNIVERSITY 电工电子实验报告 电路设计与仿真—Multisim 课程名称 逻辑门电路 实验名称 2009112030406 陈子明 学号姓名 电子信息工程 专业名称 物理与电子科学学院 所在院系 分数

实验逻辑门电路 一、实验目的 1、学习分析基本的逻辑门电路的工作原理; 2、学习各种常用时序电路的功能; 3、了解一些常用的集成芯片; 4、学会用仿真来验证各种数字电路的功能和设计自己的电路。 二、实验环境 Multisim 8 三、实验内容 1、与门电路 按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能: 结果:(0,0)

(0,1) (1,0) (1,1) 2、半加器 (1)输入/输出的真值表

输入输出 A B S(本位和(进位 数)0000 0110 1010 1101 半加器测试电路: 逻辑表达式:S= B+A=A B;=AB。 3、全加器 (1)输入输出的真值表 输入输出

A B (低位进 位S(本位 和) (进位 数) 0 0 0 0 0 00110 01010 01101 10010 10101 11001 11111(2)逻辑表达式:S=i-1;C i=AB+C i-1(A B) (3)全加器测试电路:

4、比较器 (1)真值表 A B Y1(A>B Y2(A Y3(A=B 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 (2)逻辑表达式: Y1=A;Y2=B;Y3=A B。 (3)搭接电路图,如图: 1位二进制数比较器测试电路与结果:

门电路逻辑功能及测试(完成版)

实验一门电路逻辑功能及测试 计算机一班组员:2014217009赵仁杰 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片

三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。实验中改动接线须先断开电源,接好线后再通电实验。每个芯片的电源和GND引脚,分别和实验台的+5V 和“地(GND)”连接。芯片不给它供电,芯片是不工作的。用实验台的逻辑开关作为被测器件的输入。拨动开关,则改变器件的输入电平。开关向上,输入为1,开关向下,输入为0。 将被测器件的输出引脚与实验台上的电平指示灯连接。指示灯亮表示输出电平为1,指示灯灭表示输出电平为0。 1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显示发光二极管D1~D4中任意一个。注意:芯片74LS20的14号引脚要接试验箱下方的+5V电源,7号引脚要接试验箱下方的地(GND)。用万用表测电压时,万用表要调到直流20V档位,因为芯片接的电源是直流+5V。 表1.1

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

实验一-组合逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:计算机结构与逻辑设计实验 第一次实验 实验名称:组合逻辑电路 院(系):专业: 姓名:学号: 实验室: 实验组别: 同组人员:实验时间:2015年10月29 日 评定成绩:审阅教师:

一、实验目的 ①认识数字集成电路,能识别各种类型的数字器件和封装 ②掌握小规模组合逻辑和逻辑函数的工程设计方法 ③掌握常用中规模组合逻辑器件的功能和使用方法 ④学习查找器件资料,通过器件手册了解器件 ⑤了解面包板的基本结构、掌握面包板连接电路的基本方法和要求 ⑥了解实验箱的基本结构,掌握实验箱电源、逻辑开关和LED点平指示的 用法 ⑦学习基本的数字电路的故障检查和排除方法 ⑧学Mulitisim逻辑化简操作和使用方法 ⑨学习ISE软件操作和使用方法 二、实验原理 1.组合逻辑电路: 组合逻辑电路又称为门网络,它由若干门电路级联(无反馈)而成,其特点是(忽略门电路的延时):电路某一时刻的输出仅由当时的输入变量取值的组合决定,而与过去的输入取值无关。 其一般手工设计的过程为: ①分析其逻辑功能 ②列出真值表 ③写出逻辑表达式,并进行化简 ④画出电路的逻辑图 2.使用的器件: 1)74HC00(四2输入与非门):芯片内部有四个二输入一输出的与非门。 2)74HC20(双4输入与非门):芯片内部有两个四输入一输出的与非门。注意,四输入不能有输入端悬空。 3)74HC04(六反相器):芯片内部有六个非门,可以将输入信号反相。当然,也可以通过2输入与非门来实现,方法是将其一个输入端信号加高电平。 4)74HC151(数据选择器):其功能犹如一个受编码控制的单刀多掷开关,可用在数据采集系统中,选择所需的信号。它有8个与门,各受信号A2、A1、A0的一组组合控制,再将这8个与门的输出端经一个或门输出,是一个与—或电路。 5)74HC138(3线-8线译码器):其有三个使能端E1、E2、E3,可将地址段(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。 三、实验内容 必做实验: ①数值判别电路 a)设计一个组合逻辑电路,它接收一位8421BCD码B3B2B1B0,仅当2 < B3B2B1B0 < 7时 输出Y才为1(第6周实验课内指导教师验收)

实验一 逻辑门电路的逻辑功能及测试

实验一逻辑门电路的逻辑功能及测试 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列,如何在实验箱上接线,接线时应注意什么。 二、实验仪器及材料 a)TDS-4数电实验箱、双踪示波器、数字万用表。 b)1)CMOS器件: CC4011 二输入端四与非门 1 片 CC4071 二输入端四或门 1片2)TTL器件: 74LS86 二输入端四异或门 1 片 74LS02 二输入端四或非门 1 片 74LS00 二输入端四与非门 1片 74ls125 三态门 1片 74ls04 反向器材 1片 三.预习要求和思考题: 1.预习要求: 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)三态门的功能特点。 4)熟悉所用集成电路的引线位置及各引线用途。 5)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 1)TTL门电路和CMOS门电路有什么区别? 2)用与非门实现其他逻辑功能的方法步骤是什么? 四.实验原理 1.本实验所用到的集成电路的引脚功能图见附录。 2.门电路是最基本的逻辑元件,它能实现最基本的逻辑功能,即其输入与输出之间存在一定的逻辑关系。 TTL集成门电路的工作电压为“5V±10%”。本实验中使用的TTL集成门电路是双列直插型的集成电路,其管脚识别方法:将TTL集成门电路正面(印有集成门电路型号标记)正对自己,有缺口或有圆点的一端置向左方,左下方第一管脚即为管脚“1”,按逆时针方向数,依次为1、2、3、4············。如图1—1所示。具体的各个管脚的功能可通过查找相关手册得知,本书实验所使用的器件均已提供其功能。 图1—1

基本门电路实验报告处理

J CP K S D R D Q Q S D R D D CP Q Q 43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 2016、10、7 专业班级: 姓 名: 学 号: 一、 实验目的 1、了解TTL 门电路的原理,性能好使用方法,验证基本门电路逻辑功能。 2、掌握门电路的设计方法。 3、验证J-K 触发器的逻辑功能。 4、掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1、 用与非门(00)实现与门逻辑关系:F=AB 2、 异或门(86): (二):门电路的设计(二选一) 1、用74LS00与74LS86 设计半加器、 2、用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00与 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)与D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门与J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+='n n n B A C ='

&A B &F 三、实验原理图 图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) 输入 与门 异或门 A B F U o(V) F 0 0 0 0、132 0 0 1 0 0、132 1 1 0 0 0、132 1 1 1 1 3、519 0 (2)半加器实验结果 (3) 表决电路结果 A n B n n S ' n C ' 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 =1A B F

相关文档
最新文档