微电子工艺学模拟试题

微电子工艺学模拟试题
微电子工艺学模拟试题

微电子工艺学模拟试题

一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共10小题,每小题2分,共20分)

1.在微电子器件制造过程中,通过在硅片的有源区引入一些缺陷,以此吸除表面附近的杂质和

缺陷的过程,称为吸杂,包括非本征吸杂和本征吸杂两种方式。()

2.双极型器件要求用(111)晶向单晶,MOS器件和GaAs器件则选用(100)晶向材料。()

3.在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,

处于线性氧化阶段。()

4.注入离子在非晶靶内的纵向浓度分布可用高斯函数表示,注入离子的剂量和能量越大,峰值

浓度越高。()

5.在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,

应该增大反应气体流量。()

6.溅射仅是离子对物体表面轰击时可能发生的四种物理过程之一,其中每种物理过程发生的几

率取决于入射离子的能量和剂量。()

7.外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近

浓度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。()

8.曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜

的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。()

9.由于离子的质量较大,散射作用比电子弱,离子束曝光几乎不存在邻近效应,比光学、X射

线或电子束曝光技术具有更高分辨率。()

10.在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所

要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。()

二、选择填空。 (本大题共10小题,每小题2分,共20分。在每小题给出的四个选项中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分)

1. 采用CZ 法制备硅单晶时,往往将一定数量的杂质原子加入多晶硅熔融液中,以获得所需的

掺杂浓度。假设某种杂质的有效分凝系数 ( b :附面层厚度,与熔炉旋

转速率成反比;V :拉晶速率; D :杂质扩散系数),要使晶体获得均匀掺杂分布,可通过( )实现。

A 、 较高拉晶速率和较高熔炉旋转速率;

B 、较低拉晶速率和较高熔炉旋转速率;

C 、较高拉晶速率和较低熔炉旋转速率;

D 、较低拉晶速率和较低熔炉旋转速率。 2. 通常把二氧化硅薄膜在HF 缓冲溶液中的腐蚀速率及其折射率与1.46的偏离,作为衡量二氧

化硅薄膜质量的指标。质量较好的二氧化硅薄膜,其( )

A 、折射率接近1.46,HF 腐蚀速率较小;

B 、折射率接近1.46,HF 腐蚀速率较大;

C 、折射率小于1.46,HF 腐蚀速率较小;

D 、折射率小于1.46,HF 腐蚀速率较大。 3. 离子注入时,离子在单晶靶中的射程与( )等因素有关。

A 、单晶靶的取向;

B 、离子的注入能量;

C 、离子的注入方向;

D 、注入剂量;

E 、注入时的靶温。

4. 为了改善真空蒸发工艺的台阶覆盖,可以在蒸发过程中( ),增加原子的迁移能力。

A 、 延长淀积时间;

B 、增大气体流量;

C 、加热晶片;

D 、旋转晶片。

5. PECVD 系统除用于薄膜淀积外,还广泛应用于( )。

A 、光刻去胶;

B 、薄膜刻蚀;

C 、剥离工艺;

D 、扩散掺杂。

6. 金属硅化物既可以单独制备于栅氧化层上,也可以与掺杂多晶硅一起形成多晶硅/难熔金属

硅化物多层栅结构,主要目的是( )。 A 、制备双掺杂多晶硅栅;

B 、实现源、漏电极自对准,使重叠最小化,减小寄生电容;

C 、降低峰值电场,抑制短沟MOSFET 热载流子效应;

D 、减小源、漏、栅电极以及内连线的接触电阻。 7. 下列曝光技术中不需要掩膜版的是( )

A 、传统紫外曝光;

B 、深紫外曝光;

C 、电子束曝光;

D 、X 射线曝光。

/00(1)e Vb D k

k k k e

-=+-

8.浸入式光刻技术可以使193 nm光刻工艺的最小线宽减小到30 nm以下。它通过采用折射率

高的液体代替透镜组件间的空气,达到()的目的。

A、增大光源波长;

B、减小光源波长;

C、减小光学系统数值孔径;

D、增大光学系统数值孔径。

9.对于CMOS晶体管,要得到良好受控的阈值电压,需要控制()等工艺参数。

A、氧化层厚度;

B、沟道中掺杂浓度;

C、金属半导体功函数;

D、氧化层电荷。

10.在多层金属互连结构中,使用低K层间介质可以在不降低布线密度的前提下,达到

()的目的。

A、减小寄生电容;

B、减小金属互连线电阻;

C、减小RC延迟;

D、提高电路速度。

三、简明回答下列问题(本大题共3小题,第1、2小题各9分,第3小题12分,共30分)1.右图为铝金属化与铜金属化工艺的

比较。识别并描述每个工艺步骤。

2.根据射程分布理论,分析入射离子的初始能量E0小于和大于E c所对应的能量值时,离子在靶中射程分布的异同。

3.下图为一个简单MOS管的制备工艺流程,识别并描述每个工艺步骤。

四、计算题(本大题共3小题,每小题10分,共30分)

1.有一硅样品:(1)在温度为1200?C下进行干氧氧化1小时,产生的氧化层厚度是多少?已知初始氧化层厚度为1000 ?,假设氧化过程处于抛物线阶段,干氧气氛为10%氧气和90%氩气的混合气体。1200?C下干氧氧化速率常数A=0.04 μm,B=0.045 μm2/h;

(2)干氧氧化后,在温度为1200?C下再进行湿氧氧化生成0.1μm的氧化层需要增加多长时间?1200?C下湿氧氧化速率常数A=0.05 μm,B=0.72 μm2/h。

2. 一个特殊硅器件需要注入硼,注入能量为90 keV ,峰值在0.3μm 深处,峰值浓度为1017 cm -3,投影偏差约为480?,求此工艺需要使用的注入剂量。如果衬底材料为N 型,衬底浓度为 1015 cm -3,求注入后的结深。

3. 假设某项化学气相淀积工艺受反应速率控制,在700 ℃和800 ℃时的淀积速率分别为500 ?/min 和2000?/min ,请计算在900℃下的淀积速率是多少?实际测量发现900℃下淀积速率远低于计算值,说明什么?怎样证明?(化学气相淀积的反应速率常数[]0exp /s a k k E kT =-,k =8.614×10-5eV/K )

微电子工艺习题总结(DOC)

1. What is a wafer? What is a substrate? What is a die? 什么是硅片,什么是衬底,什么是芯片 答:硅片是指由单晶硅切成的薄片;芯片也称为管芯(单数和复数芯片或集成电路);硅圆片通常称为衬底。 2. List the three major trends associated with improvement in microchip fabrication technology, and give a short description of each trend. 列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势 答:提高芯片性能:器件做得越小,在芯片上放置得越紧密,芯片的速度就会提高。 提高芯片可靠性:芯片可靠性致力于趋于芯片寿命的功能的能力。为提高器件的可靠性,不间断地分析制造工艺。 降低芯片成本:半导体微芯片的价格一直持续下降。 3. What is the chip critical dimension (CD)? Why is this dimension important? 什么是芯片的关键尺寸,这种尺寸为何重要 答:芯片的关键尺寸(CD)是指硅片上的最小特征尺寸; 因为我们将CD作为定义制造复杂性水平的标准,也就是如果你拥有在硅片某种CD的能力,那你就能加工其他所有特征尺寸,由于这些尺寸更大,因此更容易产生。 4. Describe scaling and its importance in chip design. 描述按比例缩小以及在芯片设计中的重要性 答:按比例缩小:芯片上的器件尺寸相应缩小是按比例进行的 重要性:为了优电学性能,多有尺寸必须同时减小或按比例缩小。 5. What is Moore's law and what does it predict? 什么是摩尔定律,它预测了什么 答:摩尔定律:当价格不变时,集成电路上可容纳的晶体管数,月每隔18个月便会增加1倍,性能也将提升1倍。 预言在一块芯片上的晶体管数大约每隔一年翻一番。 第二章 6. What is the advantage of gallium arsenide over silicon? 砷化镓相对于硅的优点是什么 答:优点:具有比硅更高的电子迁移率;减小寄生电容和信号损耗的特性;集成电路的速度比硅电路更快;材料的电阻率更大。 7. What is the primary disadvantage of gallium arsenide over silicon? 砷化镓相对于硅的主要缺点是什么 答:主要缺点:缺乏天然氧化物;材料的脆性;成本比硅高10倍;有剧毒性在设备,工艺和废物清除设施中特别控制。

微电子工艺复习重点

20XX级《微电子工艺》复习提纲 一、衬底制备 1.硅单晶的制备方法。 直拉法悬浮区熔法 1.硅外延多晶与单晶生长条件。 任意特定淀积温度下,存在最大淀积率,超过最大淀积率生成多晶薄膜,低于最大淀积率,生成单晶外延层。 三、薄膜制备1-氧化 1.干法氧化,湿法氧化和水汽氧化三种方式的优缺点。 干法氧化:干燥纯净氧气 湿法氧化:既有纯净水蒸汽有又纯净氧气 水汽氧化:纯净水蒸汽 速度均匀重复性结构掩蔽性 干氧慢好致密好 湿氧快较好中基本满足 水汽最快差疏松差 2.理解氧化厚度的表达式和曲线图。 二氧化硅生长的快慢由氧化剂在二氧化硅中的扩散速度以及与硅反应速度中较慢的一个因素决定;当氧化时间很长时,抛物线规律,当氧化时间很短时,线性规律。 3.温度、气体分压、晶向、掺杂情况对氧化速率的影响。 温度:指数关系,温度越高,氧化速率越快。 气体分压:线性关系,氧化剂分压升高,氧化速率加快 晶向:(111)面键密度大于(100)面,氧化速率高;高温忽略。 掺杂:掺杂浓度高的氧化速率快; 4.理解采用干法热氧化和掺氯措施提高栅氧层质量这个工艺。 掺氯改善二氧化硅特性,提高氧化质量。干法氧化中掺氯使氧化速率可提高1%-5%。 四、薄膜制备2-化学气相淀积CVD 1.三种常用的化学气相淀积方式,在台阶覆盖能力,呈膜质量等各方面的优缺点。 常压化学气相淀积APCVD:操作简单淀积速率快,台阶覆盖性和均匀性差 低压化学气相淀积LPCVD:台阶覆盖性和均匀性好,对反应式结构要求不高,速率相对 低,工作温度相对高,有气缺现象 PECVD:温度低,速率高,覆盖性和均匀性好,主要方式。 2.本征SiO2,磷硅玻璃PSG,硼磷硅玻璃BPSG的特性和在集成电路中的应用。 USG:台阶覆盖好,黏附性好,击穿电压高,均匀致密;介质层,掩模(扩散和注入),钝化层,绝缘层。 PSG:台阶覆盖更好,吸湿性强,吸收碱性离子 BPSG:吸湿性强,吸收碱性离子,金属互联层还有用(具体再查书)。 3.热生长SiO2和CVD淀积SiO2膜的区别。 热生长:氧来自气态,硅来自衬底,质量好

微电子工艺学试卷(A卷)及参考答案

华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。( × ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓 度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散, 因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。( ×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 A. 诱生电荷 B. 鸟嘴效应 C. 陷阱电荷 D. 可移动电荷 4、浸入式光刻技术可以使193 nm 光刻工艺的最小线宽减小到45 nm 以下。它通过采用折射率高的 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四、试卷印刷不清楚。可举手向监考教师询问。 注意

微电子技术前沿复习(带答案的哦)

微电子前沿复习提纲 看一些微电子技术发展的知识 1.请给出下列英文缩写的英文全文,并译出中文: CPLD: Complex Programmable Logic Device复杂可编程逻辑器件 FPGA: Field-Programmable Gate Array 现场可编程门阵列 GAL:generic array logic 通用阵列逻辑 LUT: Look-Up-Table 显示查找表 IP: Intellectual Property 知识产权 SoC: System on Chip 片上系统 2.试述AGC BJT器件实现AGC特性的工作原理; 试说明为什么 AGC BJT的工作频率范围受限? AGC 即自动增益控制(Automatic Gain Control) ? AGC BJT器件实现AGC特性的工作原理:当输入增加时,输出会同时增加,我们 可利用双极型晶体管的大注入效应和大电流下的基区扩展--kirk效应,衰减增益, 使放大系数降低,则达到了稳定输出的目的。 ?工作频率范围受限原因: 1) 、自动增益控制特性与频率特性是相矛盾,实现AGC需要基区展宽,而器件 的工作频率与基区宽度的平方成反比,要实现大范围的自动增益控制,要求 宽基区,使得工作频率范围受限。 2) 、实现AGC要求基区大注入,基区掺杂浓度低时,易于发生大注入效应,而基 区掺杂浓度动愈低,器件高频噪声愈差,使得工作频率范围受限。 3.为什么双栅MOSFET具有良好的超高频(UHF)特性? 双栅MOSFET结构如图: 1) 、双栅MOS的端口 Gl靠近源极,对应的基区宽度短,加高频信号,称信号栅,可以实现超高频。 G2靠近漏极,对应的基区宽度较宽,有良好的AGC性能,加固定偏置或AGC电压,作增益控制栅。 2) 、它通过第二个栅极G2交流接地, 可在第一个栅极G1和漏极D之间起到有效的 静电屏蔽作用, 从而使得栅极与漏极之间的反馈电容(是Miller电容)大大减小,则 提高了频率。 4.为什么硅栅、耐熔金属栅能实现源漏自对准,而铝栅不行?实现

微电子工艺技术 复习要点4-6

第四章晶圆制造 1. CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 1、溶硅 2、引晶 3、收颈 4、放肩 5、等径生长 6、收晶。 CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室,加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒CZ法优点:单晶直径大,成本低,可以较好控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶 FZ法优点:1、可重复生长,单晶纯度比CZ法高。2、无需坩埚石墨托,污染少。3、高纯度,高电阻率,低碳,低氧。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 111.100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 1、对晶圆A清洗并生成一定厚度的SO2层。 2、注入一定的H形成富含H的薄膜。 3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H 脱离A和B键合 4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 6名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺

微电子工艺复习

第一章: 1.看懂这是一个三极管 利用基区、发射区扩散形成电阻的结构2.看懂电极 外延层电阻结构 3.看懂电极 MOS集成电路中的多晶硅电阻 4.电容结构包括哪些要素? 两端是金属,中间是介电材料。

集成电路中电容的结构5.这是电容结构 Pn结位于空间电荷区,是一个电容结构。 PN结电容结构 6. MOS场效应晶体管中以SiO2为栅极层 MOS场效应晶体管电容结构

7.有源器件? 二极管,三极管,MOS管 集成电路中二极管的基本结构 8.看懂二极管,三极管的结构 集成电路中二极管的结构 9.三极管分清npn与pnp?有什么区别?怎么画的? 结构上,NPN三极管的中间是P区(空穴导电区),两端是N区(自由电子导电区),而PNP三极管正相反。 使用上,NPN三极管工作时是集电极接高电压, 发射极接低电压,基极输入电压升高时趋向导通,基极输 入电压降低时趋向截止;而PNP三极管工作时则是集电极 接低电压,发射极接高电压,基极输入电压升高时趋向截 止,基极输入电压降低时趋向导通。 晶体管的基本结构

10.什么叫NMOS?什么叫PMOS? PMOS是指利用空穴来传导电性信号的金氧半导体。 NMOS是指利用电子来访传导电性信号的金氧半晶体管。 MOS管的结构图和示意图 11.集成电路包括哪些阶段?核心阶段? 阶段: 硅片(晶圆)的制备、掩膜版的制作、硅片的制造及元器件封装 集成电路制造的阶段划分 半导体芯片的制造框图

半导体芯片制造的关键工艺 12.硅的基本性质?它的优点? 硅的禁带宽度较大(1.12eV),硅半导体的工作温度可以高达200℃。硅片表面可以氧化出稳定且对掺杂杂质有极好阻挡作用的氧化层(SiO2) 优点: (1)硅的丰裕度硅是地球上第二丰富的元素,占到地壳成分的25%,经合理加工,硅能够提纯到半导体制造所需的足够高的纯度,而消耗的成本比较低。 (2)更高的熔化温度允许更宽的工艺容限硅的熔点是1412℃,远高于锗937℃的熔点,更高的熔点使得硅可以承受高温工艺。 (3)更宽的工作温度范围用硅制造的半导体器件可以工作在比锗制造的半导体器件更宽的温度范围,增加了半导体器件的应用范围和可靠性。 (4)氧化硅的自然生成硅表面有能够自然生长氧化硅(SiO2)的能力,SiO2是一种高质量、稳定的电绝缘材料,而且能充当优质的化学阻挡层以保护硅不受外部沾污。 13.硅生长有哪两个生长方法?用于什么样的地方? (1)直拉法(CZ) 直拉法生长单晶硅是将熔化了的半导体级多晶硅变成有正确晶向并被掺杂成N型或P型的固体硅锭。均匀的大直径晶体 (2)区熔法 区熔法是另一种单晶生长方法,它所生产的单晶硅中含氧量非常少,能生产目前为止最纯的单晶硅。 第二章 1.隔离分为哪些?怎么样来做隔离? ①PN结隔离 未加正向偏压的PN结几乎无电流流动,因而PN结可作器件隔离用,双极型集成电路中的隔离主要采用PN结隔离。

课程设计微电子器件与工艺课程设计报告

课程设计微电子器件与工艺课程设计报告

目录 1.设计任务及目标 (1) 2.课程设计的基本内容 (1) 2.1 pnp双极型晶体管的设计 (1) 2.2 设计的主要内容 (1) 3.晶体管工艺参数设计 (2) 3.1 晶体管的纵向结构参数设计 (2) 3.1.1 集电区杂质浓度的确定 (2) 3.1.2 基区及发射区杂质浓度 (3) 3.1.3 各区少子迁移率及扩散系数的确定 (3) 3.1.4 各区少子扩散长度的计算 (4) 3.1.5 集电区厚度的选择 (4) 3.1.6 基区宽度的计算 (4) 3.1.7 扩散结深 (6) 3.1.8 表面杂质浓度 (7) 3.2晶体管的横向设计 (8) 3.3工艺参数的计算 (8) 3.3.1 基区磷预扩时间 (8) 3.3.2基区磷再扩散时间计算 (8) 3.3.3 发射区硼预扩时间计算 (9) 3.3.4 发射区硼再扩散时间计算 (9) 3.3.5 基区磷扩散需要的氧化层厚度 (10) 3.3.6 发射区硼扩散需要的氧化层厚度 (11) 3.3.7 氧化时间的计算 (11) 3.3.8设计参数总结 (12) 4晶体管制造工艺流程 (13) 4.1硅片及清洗 (15) 4.2氧化工艺 (16)

4.3光刻工艺 (17) 4.3.1光刻原理 (17) 4.3.2具体工艺流程 (18) 4.3.3硼的扩散 (19) 4.3.4磷的扩散 (20) 5 版图 (20) 6总结 (23) 7参考文献 (23)

微电子器件与工艺课程设计报告 ——pnp 双极型晶体管的设计 1、课程设计目的与任务 《微电子器件与工艺课程设计》是继《微电子器件物理》、《微电子器件工艺》和《半导体物理》理论课之后开出的有关微电子器件和工艺知识的综合应用的课程,使我们系统的掌握半导体器件,集成电路,半导体材料及工艺的有关知识的必不可少的重要环节。 目的是使我们在熟悉晶体管基本理论和制造工艺的基础上,掌握晶体管的设计方法。要求我们根据给定的晶体管电学参数的设计指标,完成晶体管的纵向结构参数设计→晶体管的图形结构设计→材料参数的选取和设计→制定实施工艺方案 晶体管各参数的检测方法等设计过程的训练,为从事微电子器件设计、集成电路设计打下必要的基础。 2、课程设计的基本内容 2.1 pnp 双极型晶体管的设计 设计一个均匀掺杂的pnp 型双极晶体管,使T=300K 时,β=120。V CEO =15V,V CBO =80V.晶体管工作于小注入条件下,最大集电极电流为I C =5mA 。设计时应尽量减小基区宽度调制效应的影响。 2.2 设计的主要内容: (1)了解晶体管设计的一般步骤和设计原则。 (2)根据设计指标选取材料,确定材料参数,如发射区掺杂浓度N E ,,基区掺 杂浓度N B ,集电区掺杂浓度N C ,根据各区的掺杂浓度确定少子的扩散系数,迁移率,扩散长度和寿命等。 (3)根据主要参数的设计指标确定器件的纵向结构参数,如集电区厚度W c , 基区宽度W b ,发射极宽度W e 和扩散结深X jc ,发射结结深等。 (4)根据结深确定氧化层的厚度,氧化温度和氧化时间;杂质预扩散和再扩散 的扩散温度和扩散时间。 (5)根据设计指标确定器件的图形结构,设计器件的图形尺寸,绘制出基区、 发射区和金属接触孔的光刻版图。

半导体集成电路工艺复习

第一次作业: 1,集成时代以什么来划分?列出每个时代的时间段及大致的集成规模。答: 类别时间 数字集成电路 模拟集成电路MOS IC 双极IC SSI 1960s前期 MSI 1960s~1970s 100~500 30~100 LSI 1970s 500~2000 100~300 VLSI 1970s后期~1980s后期>2000 >300 ULSI 1980s后期~1990s后期 GSI 1990s后期~20世纪初 SoC 20世纪以后 2,什么是芯片的集成度?它最主要受什么因素的影响? 答:集成度:单个芯片上集成的元件(管子)数。受芯片的关键尺寸的影响。 3,说明硅片与芯片的主要区别。 答:硅片是指由单晶生长,滚圆,切片及抛光等工序制成的硅圆薄片,是制造芯片的原料,用来提供加工芯片的基础材料;芯片是指在衬底上经多个工艺步骤加工出来的,最终具有永久可是图形并具有一定功能的单个集成电路硅片。 4,列出集成电路制造的五个主要步骤,并简要描述每一个步骤的主要功能。 答:晶圆(硅片)制备(Wafer Preparation); 硅(芯)片制造(Wafer Fabrication):在硅片上生产出永久刻蚀在硅片上的一整套集成电路。硅片测试/拣选(Die T est/Sort):单个芯片的探测和电学测试,选择出可用的芯片。 装配与封装(Assembly and Packaging):提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 成品测试与分析(或终测)(Final T est):对封装后的芯片进行测试,以确定是否满足电学和特性参数要求。 5,说明封装的主要作用。对封装的主要要求是什么。 答:封装的作用:提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 主要要求:电气要求:引线应当具有低的电阻、电容和电感。机械特性和热特性:散热率应当越高越好;机械特性是指机械可靠性和长期可靠性。低成本:成本是必须要考虑的比较重要的因素之一。 6,什么是芯片的关键尺寸?这种尺寸为何重要?自半导体制造业开始以来,芯片的关键尺寸是如何变化的?他对芯片上其他特征尺寸的影响是什么? 答:芯片上器件的物理尺寸被称为特征尺寸;芯片上的最小的特征尺寸被称为关键尺寸,且被作为定义制造工艺水平的标准。 为何重要:他代表了工艺上能加工的最小尺寸,决定了芯片上的其他特征尺寸,从而决定了芯片的面积和芯片的集成度,并对芯片的性能有决定性的影响,故被定义为制造工艺水平的标准。

微电子工艺复习整理

第一章微电子工艺基础绪论 1、描述分立器件和集成电路的区别 ①分立器件:是由二极管、三极管等独立的元器件组成的,一般只能完成单一功能, 体积庞大。 ②集成电路:把由若干个晶体管、电阻、电容等器件组成的、实现某种特定功能的电子线路, 集中制造在一块小小的半导体芯片上,大体上可以分为三类,半导体集成电路,混合集成电路及薄膜集成电路。半导体集成电路又可以分为双极型集成电路和金属-氧化物-半导体集成电路。优点: A:降低互连的寄生效应; B:可充分利用半导体晶片的空间和面积; C:大幅度降低制造成本。 2、列举出几种pn结的形成方法并说出平面工艺的特点 ①合金结方法A 接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融 B 冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个 再分布结晶区,这样就得到了一个pn结。 缺点:不能准确控制pn结的位置。 ②生长结方法 半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。 缺点:不适宜大批量生产。 ③扩散结优点:扩散结结深能够精确控制。 ④二氧化硅薄膜的优点 A:作为掩蔽膜,有效的掩蔽大多数杂质的扩散B:提高半导体几何图形的控制精度 C:钝化半导体器件表面,提高了器件的稳定性。 ⑤平面工艺:利用二氧化硅掩蔽膜,通过光刻出窗口控制几何图形进行选择性扩散形成pn结 3、制造半导体器件的四个阶段 ①.材料准备②晶体生长与晶圆准备③.芯片制造④.封装 4、解释集成度的概念并根据集成度将集成电路分类

5、微电子工艺的特点 ①高技术含量:设备先进、技术先进 ②高精度:光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而 精度更在上述尺度之上。 ③超纯:指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。 ④超净:环境、操作者、工艺三个方面的超净,VLSI在100级超净室、10级超净台中制作 ⑤大批量、低成本:图形转移技术使之得以实现 ⑥高温:多数关键工艺是在高温下实现,如:热氧化、扩散、退火 6、说明工艺及产品趋势 ①特征图形尺寸的减小(通常用微米表示)特征尺寸和集成度是集成电路发展的两个共同标志。 ②芯片和晶圆尺寸的增大 ③缺陷密度的减小100um―1um不是问题1um―1um 致命缺陷 ④内部连线水平的提高高元件密度减小了连线的空间。 解决方案:在元件形成的表面上使用多层绝缘层和导电层相互叠加的多层连线。 ⑤芯片成本的降低⑥纳电子技术 第二章半导体材料和晶圆制备 (1)列出三类晶体缺陷并说明其形成的原因 ①点缺陷:晶体杂志原子挤压晶体结构引起的压力所致 ②位错:晶体生长条件、晶体内的晶格应力、制造过程中的物理损坏 ③原生缺陷:滑移(晶体平面产生的晶体滑移)和挛晶(同一界面生长出两种不同方向的晶体), 二者是晶体报废的主要原因。 (2)说出掺杂半导体的两种特性 ①通过掺杂浓度精确控制电阻率 ②通过掺杂元素的选择控制导电类型(电子N型或空穴P型导电) 掺杂半导体和金属导电的区别:金属:①电阻率固定,改变电阻只有改变其形状。 ②只能通过电子的移动来导电,金属永远是N型的。 (3)列出三种主要的半导体材料、比较其优缺点 ①锗缺点A:熔点低(937℃)B:缺少自然形成的氧化物 ②硅优点A:熔点高(1415℃)B:二氧化硅膜很好的解决了漏电问题 ③硅作为电子材料的优势: A:原料充分,石英沙是硅在自然界存在的主要形式;B:机械强度高; C:比重小,密度只有2.33g/cm3;D:pn结表面易于生长SiO2,对结起到保护作用; E:制备的单晶缺陷小;F:能够制造大尺寸基片,硅片直径已达16英寸;G:导热性好 ④砷化镓A:载流子迁移率高,适合于做超过吉赫兹的高速IC。例如:飞机控制和超高速计算 机。B:对辐射所造成的漏电具有抵抗性,即GaAs是天然辐射硬化的。 C:GaAs是半绝缘的。使临近器件的漏电最小化,允许更高的封装密度。 ⑤砷化镓不会取代硅的原因:A: 大多数产品不必太快B:没有保护膜C:砷对人体有害

微电子工艺技术 复习要点答案(完整版)

第四章晶圆制造 1.CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 答:1、溶硅2、引晶3、收颈4、放肩5、等径生长6、收晶。CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长)。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均匀性。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。 CZ法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶。 FZ法优点:①可重复生长,提纯单晶,单晶纯度较CZ法高。②无需坩埚、石墨托,污染少③高纯度、高电阻率、低氧、低碳④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 答:111和100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 答:在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 答:1、对晶圆A清洗并生成一定厚度的SO2层。2、注入一定的H形成富含H的薄膜。3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H脱离A和B键合。4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 7、名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 答:CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺 1. 列举IC芯片制造过程中热氧化SiO2的用途?

微电子工艺技术 复习要点答案完整版

微电子工艺技术-复习要点答案) 完整版( 第四章晶圆制造法。比法和FZ1.CZ法提单晶的工艺流程。说明CZ FZ三种生长方法的优 缺点。较单晶硅锭CZ、MCZ和答:

法:使用射频或电阻加热线圈,置于慢速转动的石CZ3、收颈4、放肩5、等径生长6、收晶。 1、溶硅2、引晶。将一个慢速转动的夹具的单晶硅籽晶棒)英坩埚内的高纯度电子级硅在1415度融化(需要注意的是熔硅的时间不宜过长逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。籽晶晶体的旋转和熔化可以改善整个硅锭掺杂物的均匀性。的多晶硅棒垂直放在高温炉反应室。加热将多晶硅棒的低端熔化,然后50-100cm FZ法:即悬浮区融法。将一条长度把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒。法优点:①所生长的单晶的直径较大,成本相对较低;②通过热场调整及晶转,坩埚等工艺参数的优化,可以较好CZ的控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶。③高纯度、高电阻率、低法高。②无需坩埚、石墨托,污染少 CZFZ法优点:①可重复生长,提纯单晶,单晶纯度较法,熔体与晶体界面复杂,很④悬浮区熔法主要用于制造分离式功率元器件所需要的晶圆。缺点:直径不如CZ氧、低碳难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀MC:改进直拉法 性 2.晶圆的制造步骤【填空】 答:1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光

2012级微电子工艺学试卷(A卷)参考答案

华中科技大学光学与电子信息学院考试试卷(A卷) 2014~2015学年度第一学期 课程名称:微电子工艺学考试年级:2012级 考试时间:2015 年1 月28 日考试方式:开卷 学生姓名学号专业班级 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共10小题,每小题2分,共20分) 1、随着器件特征尺寸不断缩小、电路性能不断完善、集成度不断提高,互连线所占面积已成为决定芯片面积的主要因素,互连线导致的延迟已可与器件门延迟相比较,单层金属互连逐渐被多层金属互连取代。(√) 2、采用区熔法进行硅单晶生长时,利用分凝现象将物料局部熔化形成狭窄的熔区,并令其沿锭长从一端缓慢地移动到另一端,重复多次使杂质被集中在尾部或头部,使中部材料被提纯。区熔法一次提纯的效果比直拉法好,可以制备更高纯度的单晶。(×) 3、缺陷的存在对微电子器件利弊各半:在有源区不希望有二维和三维缺陷,而在非有源区的缺陷能够吸引杂质聚集,使邻近有源区内杂质减少,是有好处的。(√) 4、光刻胶的灵敏度是指完成曝光所需最小曝光剂量(mJ/cm2),由曝光效率决定(通常负胶比正胶有更高曝光效率) 。灵敏度大的光刻胶曝光时间较短,但曝光效果较差。(×) 5、无论对于PMOS还是NMOS器件,要得到良好受控的阈值电压,需要控制氧化层厚度、沟道掺杂浓度、金属半导体功函数以及氧化层电荷。(√) 6、半导体掺杂中掺入的杂质必须是电活性的,能提供所需的载流子,使许多微结构和器件得以实现。掺杂的最高极限由杂质固溶度决定,最低极限由硅晶格生长的杂质决定。(√) 7、离子注入过程是一个平衡过程,带有一定能量的入射离子在靶材内同靶原子核及其核外电子碰撞,逐步损失能量,最后停下来。(×) 8、溅射仅是离子对物体表面轰击时可能发生的四种物理过程之一,其中每种物理过程发生的几率取决于入射离子的剂量。(√) 9、等离子体刻蚀的优点是刻蚀速率较高、刻蚀选择性较好和刻蚀损伤较低,缺点是存在各向异性倾向。 (×) 10、MOS器件中的轻掺杂漏(LDD,Lightly Doped Drain)结构提供了一个从沟道到重掺杂源漏区的过渡,从而降低漏端电场,消除热载流子效应。同时,通过减小源漏结面向沟道区的结面积,抑制短沟效应。 (√) 二、选择填空。(本大题共10小题,每小题2分,共20分。在每小题给出的四个选项中,只一个选项正确。) 1、重离子每次碰撞传输给靶的能量较大,散射角小,获得大能量的位移原子还可使许多原子移位。注入离子的能量损失以核碰撞为主。同时,射程较短,在小体积内有较大损伤。重离子注入所造成的损伤( B) 。 A. 区域大,密度大 B. 区域小,密度大 C. 区域小,密度小 D. 区域大,密度小 2、Ⅲ、Ⅴ族元素在硅中的扩散运动是建立在杂质与空位相互作用的基础上的,掺入的施主或受主杂质诱导出了大量荷电态空位,从而(A) 。

(完整word版)微电子技术概论期末试题

《微电子技术概论》期末复习题 试卷结构: 填空题40分,40个空,每空1分, 选择题30分,15道题,每题2分, 问答题30分,5道题,每题6分 填空题 1.微电子学是以实现电路和系统的集成为目的的。 2.微电子学中实现的电路和系统又称为集成电路和集成系统,是微小化的。 3.集成电路封装的类型非常多样化。按管壳的材料可以分为金属封装、陶瓷封装和塑料封装。 4.材料按其导电性能的差异可以分为三类:导体、半导体和绝缘体。 5. 迁移率是载流子在电场作用下运动速度的快慢的量度。 6.PN 结的最基本性质之一就是其具有单向导电性。 7.根据不同的击穿机理,PN 结击穿主要分为雪崩击穿和隧道击穿这两种电击穿。 8.隧道击穿主要取决于空间电荷区中的最大电场。 9. PN结电容效应是PN结的一个基本特性。 10.PN结总的电容应该包括势垒电容和扩散电容之和。 11.在正常使用条件下,晶体管的发射结加正向小电压,称为正向偏置,集电结加反向大电压,称为反向偏置。 12.晶体管的直流特性曲线是指晶体管的输入和输出电流-电压关系曲线, 13.晶体管的直流特性曲线可以分为三个区域:放大区,饱和区,截止区。 14.晶体管在满足一定条件时,它可以工作在放大、饱和、截止三个区域中。 15.双极型晶体管可以作为放大晶体管,也可以作为开关来使用,在电路中得到了大量的应用。 16. 一般情况下开关管的工作电压为 5V ,放大管的工作电压为 20V 。 17. 在N 型半导体中电子是多子,空穴是少子; 18. 在P 型半导体中空穴是多子,电子是少子。 19. 所谓模拟信号,是指幅度随时间连续变化的信号。 20. 收音机、收录机、音响设备及电视机中接收、放大的音频信号、电视信号是模拟信号。 21. 所谓数字信号,指在时间上和幅度上离散取值的信号。 22. 计算机中运行的信号是脉冲信号,但这些脉冲信号均代表着确切的数字,因而又叫做数字信号。 23. 半导体集成电路是采用半导体工艺技术,在硅基片上制作包括电阻、电容、二极

微电子技术复习重点精选

2013年10级《微电子技术》复习重点指导 (2013年4月25日) 一、填空题 1.干氧氧化、湿氧氧化的方程式,其中干氧氧化的过程有两个阶段,氧化的本质原理。 2. 在半导体技术中,二氧化硅在微电子技术中的作用。 3. 二氧化硅在微电子技术中的生长方法有哪些?生长反应的方程式 4.物理气相淀积的真空蒸发与溅射两种方法,二者的区别。 5.化学气相淀积是薄膜淀积的一个主要方法,它主要包括有几种方法? 6.光刻技术在微电子技术流程中是费时最长、代价最高的工艺过程,光刻胶的类型与组成。 7.四种不同的光刻机,它们分别是接触式曝光机、接近式曝光机、投影式曝光机和步进式曝光机,四种曝光机各自的特点。 8.刻蚀的种类,干法刻蚀与湿法刻蚀的特点与区别比较。 9.扩散的杂质对象不同在扩散时的机制,具体机制分两种,实际生产中常采用两步扩散工艺;扩散和离子注入的特点以及二者的区别。10.离子注入时的离子束加工方式可分为两种,比较扩散的掺杂方式和离子注入异同点。 11.实验室光刻机的特点与性能 12.实验室的清洗方式和过程 13.实验室的真空镀膜机的特点、和镀膜方式

14.实验室扩散炉的特征 15.实验室清洗时用的1#与2#液的配比 二、问答综合分析题 16、PVD制备薄膜的基本过程是什么薄膜淀积须在高真空度系统中进行的原因 17 溅射与真空镀膜的原理以及区别 18、物理气相淀积和化学气相淀积,两种工艺方法的的区别是什么? 19、具体说明热氧化生长氧化层与CVD生长氧化层的区别 20、硅片表面热氧化速率与温度的关系,及其物理机理 21、热氧化过程中的杂质堆积与杂质耗尽; 22、硅片的氧化一般采用先干氧再湿氧最后干氧的方法,阐述具体原因 23、说明离子注入中的沟道效应及避免方法 24、介绍现代工艺光刻的基本步骤 25、简要说明湿法刻蚀二氧化硅、单晶硅和氮化硅等常用的化学试剂 及反应的方程式。 26、介绍IC芯片设计制造中最常使用的三种金属及作用 27、正性光刻胶与负性光刻胶的区别是什么? 28.刻蚀有干法刻蚀与湿法刻蚀两种,湿法刻蚀与干法刻蚀的区别。 29.微电子技术的未来发展方向 30.微电子技术的关键历史事件(第一个集成电路、第一支硅晶体管等)

微电子工艺课程设计

微电子工艺课程设计 一、摘要 仿真(simulation)这一术语已不仅广泛出现在各种科技书书刊上,甚至已频繁出现于各种新闻媒体上。不同的书刊和字典对仿真这一术语的定义性简释大同小异,以下3种最有代表性,仿真是一个系统或过程的功能用另一系统或过程的功能的仿真表示;用能适用于计算机的数学模型表示实际物理过程或系统;不同实验对问题的检验。仿真(也即模拟)的可信度和精度很大程度上基于建模(modeling)的可信度和精度。建模和仿真(modeling and simulation)是研究自然科学、工程科学、人文科学和社会科学的重要方法,是开发产品、制定决策的重要手段。据不完全统计,目前,有关建模和仿真方面的研究论文已占各类国际、国内专业学术会议总数的10%以上,占了很可观的份额。 集成电路仿真通过集成电路仿真器(simulator)执行。集成电路仿真器由计算机主机及输入、输出等外围设备(硬件)和有关仿真程序(软件)组成。按仿真内容不同,集成电路仿真一般可分为:系统功能仿真、逻辑仿真、电路仿真、器件仿真及工艺仿真等不同层次(level)的仿真。其中工艺和器件的仿真,国际上也常称作“集成电路工艺和器件的计算机辅助设计”(Technology CAD of IC),简称“IC TCAD”。

二、 综述 这次课程设计要求是:设计一个均匀掺杂的pnp 型双极晶体管,使T=346K 时,β=173。V CEO =18V ,V CBO =90V ,晶体管工作于小注入条件下,最大集电极电流为IC=15mA 。设计时应尽量减小基区宽度调制效应的影响。要求我们先进行相关的计算,为工艺过程中的量进行计算。然后通过Silvaco-TCAD 进行模拟。 TCAD 就是Technology Computer Aided Design ,指半导体工艺模拟以及器件模拟工具,世界上商用的TCAD 工具有Silvaco 公司的Athena 和Atlas ,Synopsys 公司的TSupprem 和Medici 以及ISE 公司(已经被Synopsys 公司收购)的Dios 和Dessis 以及Crosslight Software 公司的Csuprem 和APSYS 。这次课程设计运用Silvaco-TCAD 软件进行工艺模拟。通过具体的工艺设计,最后使工艺产出的PNP 双极型晶体管满足所需要的条件。 三、 方案设计与分析 各区掺杂浓度及相关参数的计算 对于击穿电压较高的器件,在接近雪崩击穿时,集电结空间电荷区已扩展至均匀掺杂的外延层。因此,当集电结上的偏置电压接近击穿电压V 时, 集电结可用突变 结近似,对于Si 器件击穿电压为 4 3 13 106- ?=)(BC B N V , 集电区杂质浓度为: 3 4 13 34 13)1106106CEO n CBO C BV BV N β+?=?=()( 由于BV CBO =90所以Nc=*1015 cm -3 一般的晶体管各区的浓度要满足NE>>NB>NC 设N B =10N C ;N E =100N B 则: Nc=*1015 cm -3 ;N B =*1016 cm -3 ;N E =*1018 cm -3 根据室温下载流子迁移率与掺杂浓度的函数关系,得到少子迁移率: s V cm ?==/13002n C μμ;s V cm P B ?==/3302μμ;s V cm N E ?==/1502μμ 根据公式可得少子的扩散系数:

微电子加工工艺总结

1、分立器件和集成电路的区别 分立元件:每个芯片只含有一个器件;集成电路:每个芯片含有多个元件。 2、平面工艺的特点 平面工艺是由Hoerni于1960年提出的。在这项技术中,整个半导体表面先形成一层氧化层,再借助平板印刷技术,通过刻蚀去除部分氧化层,从而形成一个窗口。 P-N结形成的方法: ①合金结方法 A、接触加热:将一个p型小球放在一个n型半导体上,加热到小球熔融。 B、冷却:p型小球以合金的形式掺入半导体底片,冷却后,小球下面形成一个再分布结晶区,这样就得到了一个 pn结。 合金结的缺点:不能准确控制pn结的位置。 ②生长结方法 半导体单晶是由掺有某种杂质(例如P型)的半导体熔液中生长出来的。 生长结的缺点:不适宜大批量生产。 扩散结的形成方式 与合金结相似点: 表面表露在高浓度相反类型的杂质源之中 与合金结区别点: 不发生相变,杂质靠固态扩散进入半导体晶体内部 扩散结的优点 扩散结结深能够精确控制。 平面工艺制作二极管的基本流程: 衬底制备——氧化——一次光刻(刻扩散窗口)——硼预沉积——硼再沉积——二次光刻(刻引线孔)——蒸铝——三次光刻(反刻铝电极)——P-N结特性测试 3、微电子工艺的特点

高技术含量设备先进、技术先进。 高精度光刻图形的最小线条尺寸在亚微米量级,制备的介质薄膜厚度也在纳米量级,而精度更在上述尺度之上。超纯指工艺材料方面,如衬底材料Si、Ge单晶纯度达11个9。 超净环境、操作者、工艺三个方面的超净,如 VLSI在100级超净室10级超净台中制作。 大批量、低成本图形转移技术使之得以实现。 高温多数关键工艺是在高温下实现,如:热氧化、扩散、退火。 4、芯片制造的四个阶段 固态器件的制造分为4个大的阶段(粗线条): ①材料制备 ②晶体生长/晶圆准备 ③晶圆制造、芯片生成 ④封装 晶圆制备: (1)获取多晶 (2)晶体生长----制备出单晶,包含可以掺杂(元素掺杂和母金掺杂) (3)硅片制备----制备出空白硅片 硅片制备工艺流程(从晶棒到空白硅片): 晶体准备(直径滚磨、晶体定向、导电类型检查和电阻率检查)→ 切片→研磨→化学机械抛光(CMP)→背处理→双面抛光→边缘倒角→抛光→检验→氧化或外延工艺→打包封装 芯片制造的基础工艺 增层——光刻——掺杂——热处理 5、high-k技术

相关文档
最新文档