彩灯循环显示控制电路设计

彩灯循环显示控制电路设计
彩灯循环显示控制电路设计

1.摘要

彩灯循环控制器主要由三部分组成:振荡电路、计数及译码驱动电路、显示电路。振荡电路是由555定时器组成的多谐振荡器构成,用于产生时间脉冲;计数电路由74HC160构成,用于电路的计数;译码器主要用于整个电路的循环计数控制;显示电路由七段的数码管构成,用于显示电路的输出结果。为了实现这个循环输出的功能,在设计的时候用到了一个2线--4线译码器和一个四进制计数器,可以利用它的输出端来控制四个计数器的工作情况,让四个计数器依次工作,以达到要求的依次循环输出数列。最后就是脉冲的问题,由于在产生奇数列和偶数列的时候要求分频使得数列显示的速度大致相同,因此要分频。用555构成多谐振荡器产生脉冲,再用一个D触发器实现二分频就可以了。彩灯循环控制器的作用主要是对现如今非常多的彩灯的运作进行控制的一个电路,具有很广泛的应用,而计数器则在时序电路中应用很广泛,不仅可以用于对脉冲计数,还可以用于分频、定时、产生节拍脉冲以及其他的时序信号。我们这次的实验准备分三步进行,首先是原理的分析,确定好电路图,然后根据电路图进行仿真,最后是实物的制作与调试,而我在这次课程设计中主要是做的实物,所以对于实物的焊接和调试要了解得多一些.关于焊接,我们准备采用焊锡而不是焊导线,因为导线走的线路并不是十分清晰,而且焊出来并不是十分美观,焊锡的话不仅整个电路的损耗电阻要减小,而且电路的走线清晰美观。

2.主要任务

(1)设计并制作一个彩灯循环控制器;

(2)用七段LED数码管作为显示元件,它能自动依次显示,出数字0,1,2,3,4,5,6,7,8,9(自然数列),1,3,5,7,9(奇数列),0.2..4.6.8(偶数列)和0,1,2,3,4,5,6,7,0,1(音乐符号数列),然后循环显示自然数列,奇数列,偶数列,符号列……如此循环;

(3)设置自动清0电路,打开电源输出状态为0,然后按1变化;设置时基电路为0.5S 到2S范围内连续可调

3.基本组成方框图

图1 基本方框图

4.设计部分

4.1序列产生部分

4.1.1自然序列产生部分

计数部分主要使用的是74HC160来实现的,其功能表以及引脚图如下图所示。

图2 74HC160的引脚图

表1 74HC160

CLR LOAD ENP ENT CLK A B C D Q A Q B Q C Q D RCO

0 ××××××××0 0 0 0 0

1 0 0 0 POS ×××× A B C D ﹡1

1 1 1 1 POS ××××Count ﹡1

1 1 1 ××××××Q A0Q B0Q C0Q D0﹡1

Q B0Q C0Q D0﹡1

1 1 × 1 ×××××Q A0

由于74HC160本身就是一个十进制计数的芯片,因此对于这个部分就只需按照其功能表来接电路就可以实现十进制自然序列输出了。在脉冲信号的触发下,计数器的输出端的状态依次为0000→0001→0010→0011→0100→0101→0110→0111→1000→1001,然后再将计数器的输出端和数码管的输入端口相接就可以在数码管上面看到依次显示从0到9了。

U3

VCC

图3 自然序列产生电路

4.1.2奇数列产生部分:

将奇数1,3,5,7,9用8421BCD 码分别表示为:“0001”,“0011”,“0101”,“0111”,“1001”,可以发现最后一位都为1,因此可以在上述十进制自然序列的基础上将数码管的最低位接高电平就可以实现奇数序列了。虽然在每个脉冲触发的作用下,芯片实现的仍然是十进制,但是由于数码管最低位接高电平,在数码管显示的则是奇数列,但是显示的时间间隔是正常自然序列的2倍,为了实现相邻显示时间间隔相等,我们可以利用二分频电路解决上述问题。

图4 奇数产生电路 4.1.3偶数列产生部分:

U1

DCD_HEX_DIG_GREEN

U2

74LS160D

QA

14QB 13QC 12QD 11RCO

15

A 3

B 4

C 5

D 6ENP 7ENT 10~LOAD 9~CLR 1

CLK

2

V1

50 Hz 5 V

VCC

5V

1

0VCC

2

3

4

将偶数0,2,4,6,8用8421BCD 码分别表示为“0000”,“0010”,“0100”,“0110”,“1000”,可以发现最后一位都为0,因此可以在上述十进制自然序列的基础上将数码管的最低位接低电平就可以实现偶数序列了。虽然在每个脉冲触发的作用下,芯片实现的仍然是十进制,但是由于数码管最低位接高电平,在数码管显示的则是偶数列,但是显示的时间间隔是正常自然序列的2倍,为了实现相邻显示时间间隔相等,我们可以利用二分频电路解决上述问题。

图5 偶数产生电路

4.1.4音乐数列产生部分:

音乐序列的特点是从0显示到7后又再变为0,这里可以将数码管的最高位固定接低电平就可以实现了。因为74LS160的输出端只有三个与数码管相接,当74LS160的输出为“1000”和“1001”时,这时由于数码管最高位是固定接低电平的,也就是数码管的输入端仍是“0000”,“0001”。这样数码管的显示就又变成0和1了。

U1

DCD_HEX_BLUE

VCC

5V

V150 Hz 5 V

U2

74LS160D

QA 14QB 13QC 12QD 11RCO

15

A 3

B 4

C 5

D 6ENP 7ENT 10~LOAD 9~CLR 1

CLK

2

VCC

1

2

3

4U2

图6 音乐序列产生电路

4.2脉冲产生电路:

脉冲产生电路采用555构成的多谐振荡器。多谐振荡器的优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号了。多谐振荡器的频率计算公式为f = 1.43/(R2+2R3)C,由于要求时间在0.5s到2s范围内连续可调,因此f的范围就应该在0.5Hz到2Hz之间,这时候需要在电路中加上一个可调电阻。因为555定时器内部的比较器灵敏度较高,而且采用差分电路形式,用它组成的多谐振荡器的振荡频率受电源电压和温度变化的影响很小,这样使产生的矩形波更稳定。

图7 脉冲产生电路

4.3分频电路:

因为奇、偶序列数字显示时间间隔是自然序列和音乐序列的2倍,为了实现显示数字时间间隔相等的要求,可以使用二分频电路,让自然序列和音乐序列的显示时间与奇偶电路的显示时间相等。D 触发器可以构成二分频电路。由于D 触发器的状态方程为Qn+1=D=Qn ,将D 触发器的D 端接在Q 非,则从输出端Q 输出的是二分频后的时间脉冲,其时间间隔为原脉冲的2倍。

图8 分频电路 4.4数列循环部分:

这个部分主要用到的是芯片74HC390计数器和74HC139译码管,它们的功能表和引脚图分别如下图和表所示。

表2 74HC390的功能表

输入

输出

R 01

R 02

S 91

S 92

CP A

CP B

Q D

Q C

Q B

Q A

1 1 0 × × × 0 0 0 0 1

1

×

×

×

U1A

74HC113D_6V

1J

3

~1Q

6

11K 2

1Q

5

~1PR 4

VCC

5V XSC1

A

B Ex t Trig

++_

_

+

_

VCC V11kHz 5 V

1

2

0 1 1 ×× 1 0 0 1

0 1 1 ×× 1 0 0 1

R01 R02=0 S91 S92=0CP 0 二进制计数

0 CP 五进制计数CP Q A8421码十进制计数Q D CP 5421码十进制计数

表3 74HC139的功能表

输入输出

G B A Y3Y2Y1Y0

1 ×× 1 1 1 1 0 0 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 0 1 1 0 1 1 0 1 1 1

图9 74HC390的引脚图图10 74HC139的引脚图

图11 数列循环电路

要让四个数列依次循环则采用一个2线--4线译码器和一个四进制计数器。用译码器的输出依次去控制芯片清零端,在通过一个四进制计数器去控制译码器输入,使其在四个输出间不断循环,而计数器的时钟脉冲则可通过每个芯片的进位端经过一四输入或门输出来控制。74HC390的脉冲信号是由计数器的进位端来控制的,只有当一个计数器的全部数列输出完了之后才会有脉冲信号过来触发74HC390让它进入下一个状态,这样就是由电路自己控制的。

在这个部分主要是应用了一个四进制的计数器和一个译码器,这个部分的作用是为了使自然序列,奇数序列,偶数序列,音乐序列的循环显示。其中四个74LS160计数器的进位端与74HC390的CPA相接,这样就可以通过进位端状态由0变为1的瞬间给它一个脉冲触发,而另一个脉冲端则是与其输出端QA相接的,这样的接法是为了使74HC390实现8421BCD码十进制计数的功能。然后再让74HC390的输出端QA,QB分别与译码器74HC139相接,这样可以用译码器来控制计数器的动作状态,它可以决定由哪个74LS160计数器来工作。当QA,QB为“0”,“0”时,这时译码器的输出端就只有Y

为0,接一个反相器然后

再接产生自然序列的计数器的清零端;这样就可以实现只有自然序列输出的功能,同理当

为0,接一个反相器然后再接产生QA,QB为“0”,“1”时,这是译码器的输出端就只有Y

1

奇数序列的计数器的清零端,这样就可以实现只有奇数序列输出的功能; 当QA,QB为“1”,

为0,接一个反相器然后再接产生偶数序列的计数“0”时,这是译码器的输出端就只有Y

2

器的清零端,这样就可以实现只有偶数序列输出的功能; 当QA,QB为“1”,“1”时,这

为0,接一个反相器然后再接产生音乐序列的计数器的清零端,是译码器的输出端就只有Y

3

这样就可以实现只有音乐序列输出的功能。其产生序列的功能就是这样实现的。

5.总体电路的设计

这个电路图可以实现设计的要求,可以依次输出自然数列,奇数序列,偶数序列还有音乐数列,而且还可以循环输出,数码管的显示的间隔时间也可以通过调节脉冲信号的频率来进行调整。

电路图中四个74LS160的输出端口分别与四个与门相接,然后再将四个门电路的输出端分别与数码管的输入端相接。其中产生自然数列和音乐数列的脉冲信号的频率是产生奇数序列和偶数序列的脉冲信号的频率是2倍,这是因为为了实现数字显示时间间隔相等的要求,这里利用二分频器很好地实现了这一功能。

当打开电路的开关后,首先就是输出自然序列,这时是U1先工作,它的清零端接的是“1“,这时就是它处在计数的操作,然后输出通过与或门相接再接至数码管的输入端,就可以依次显示从0到9,当U1的输出要从9变到0的瞬间,它的进位端的状态是”1”,然后通过一个或门接至74HC390的脉冲输入端,这时从“0”变至“1”,恰好有一个脉冲,就可以通过译码器使U4开始工作即开始计数,它从9变至1时,又通过进位端给74HC390一个脉冲,然后就通过译码器又使U8开始工作,它从0变至8,当它从8变至0时,它的进位端又变至“1”,就又可以给74HC390一个脉冲信号,最后就通过译码器控制U9的工作,输出音乐数列。如此周而复始的这样循环,就可以实现我们需要的功能了。其电路图如图:

图12 总原理图

6.实物的制作

6.1实物的制作过程

先确定所要用的元器件,买好元件之后,开始焊实物,由于此次的电路图比较复杂,切连接的线路比较多,如果想要在板子的后面把所有的线路都焊好,有点不且实际,而且检查线路的时候也不好检查,焊接也花费很多的时间,但是连接的线路主要集中在各个芯片的附近,所以我选择了再芯片的旁边焊排针的方式来减少我们板子后面的连线,所以在焊接的时候,我先把后面简单的线路连接好了,然后将芯片的管脚与对应的排针管脚连接起来,连接好之后,再用杜邦线将剩下的线路连接起来,这样实物的焊接就完成了。

图13 振荡电路正面图

图14 振荡电路反面图

图15 实物正面图

图16 实物反面图

6.2实物的调试

将焊接好的板子接好电源之后,看数码管是否计数正常,但是接上电源后,数码管始终显示6,于是我们开始检查数码管那部分的电路是否有问题,刚开始以为是线路连接有错误,于是对着原理图检查了一下,发现电路的连接并没有问题,再检查74LS48的BC 端是否连接有错误,用万用表检查的时候发现BC端是高电平端,但是它本来应该是低电平的,于是我怀疑是否是这两端与某一个高电平端短路了,检查之后才发现原来是排针与管脚的连接线忘记连上了,连好之后,接好线路,发现电路刚开始正常计数,但是当计数到偶数序列的时候停止了计数,检查之后发现原来是那块芯片烧坏了,换了一块芯片之后电路开始正常计数。

7.心得与体会

这次的课程设计结合了我在数电的课程上学到的知识,把平常只在书本上和题目上遇到的问题搬到了实际的问题中,不仅锻炼了我焊接实物和调试实物的能力,还深化了我在课堂上学到的知识,是我在学习道路上的又一次进步。刚开始的时候,其实我也试过自己仿真的,但是不知道是什么原因,我用protues仿真总是出不来结果,后来我放弃了仿真,即使我知道电路图的原理是正确的,因为我在找了许多资料后还是找不出我处错误的原因。我突然发现我并不是一个能够将一件事情坚持到底的人,为此我曾很失落,于是在焊接实物的时候我抱着一颗热忱的新去做,尽管砸焊接的过程中遇到了很多的问题,比如,刚开始的时候,结果并没有出来,检查电路的连接也没有问题,但是就是找不出问题在哪,冷静之后一步步分析,后来终于发现了问题的所在,还有就是刚开始分块测试的时候,不小心把电源打到了8V,把其中一块芯片烧掉了,当时并没有多在意,但是在后来调试的时候出现了大问题,电路不能循环工作,分析问题之后才想起来那会把芯片给烧坏了,换了芯片之后电路开始正常工作,这时候才感受到收获成果的喜悦之情。事实证明,只要你有一个坚持到底的心,不管你最后有没有成功,你地心里总是很开心的,因为你曾经努力过,你曾经没有过遗憾。我觉得学校以后可以多开展这样的课程设计或者实习,让我们把书本上的知识应用到实际上,不然的话,我感觉我们学到的东西都是一些虚的,并不能真正感受到它的用途,等以后走上社会了,开始工作了,才发现自己原来是一个书呆子,什么都不会,如果我们多开展这样的课程设计的话,不仅能够提高我们的动手能力,还能够发散我们的思维。

8.元件清单

器件型号用途介绍数量

74LS160N 计数器 4

74HC113D JK触发器 1

74HC390N 多功能的计数

1

74HC05N 非门 4

1

74HC139N 2线-4线译码

1

NE555 555集成定时

4072BP 四输入或门 5

GND 接地端 4

VCC 直流电源 5

9.参考文献

[1] 数字电子技术基础,高等教育出版社康华光

[2] 电子线路设计·实验·测试第三版,谢自美主编,华中科技大学出版社

[3] 新型集成电路的应用――电子技术基础课程设计,梁宗善主编,华中科技大学出版社

[4] 电子技术基础课程设计,孙梅生等编著,高等教育出版社

本科生课程设计成绩评表姓名性别

专业、班级

课程设计题目:

课程设计答辩或质疑记录:

成绩评定依据:

最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

年月日

彩灯循环控制系统

电工电子技术课程 设计 题目:彩灯循环控制电路的 设计与制作 团队成员:李静黄林姜怀亮况雨朦刘京京 组长:李静 班级机电技术教育 专业:121班 指导教师:国海 2014年6月

摘要 基于数字技术的循环流水彩灯系统结合了传统的数字电路基础技术和模块化设计的思路。其简易轻巧,外貌美观,能呈现多彩颜色的特点使它在现实生活中得到了广泛的应用。比如十字路口红绿黄的流水交通灯;节假日装扮用的流水彩灯;自动门上装有的自动流水灯等等。所以流水彩灯的设计可以进一步增加我们对流水灯的了解,感受流水灯给我们生活带来的方便。 小组对彩灯循环控制系统的初步框架进行设计,并对一些仿真软件和硬件系统方案进行了选择。在此基础上,又进一步详细介绍了该控制系统的硬件组成、软件仿真结果、控制方法。在软件仿真部分中,本文重点讲述了使用Multisim对数字电路进行仿真及仿真结果。在硬件设计中,将电路设计从主电路为二进制计数器延伸到用移位寄存器来控制。 最后,本文就基于彩灯循环控制系统的特点,进行了归纳与总结。 关键词:流水彩灯循环 Multisim仿真移位寄存器 彩灯循环控制电路的设计与制作 1 结构设计与方案选择 1.1 基于数字电路技术的彩灯循环控制系统结构 现代的数字电路的设计大体上都是三步走的战略:即信号源,控制电路,输出显示。在明白大体的设计思路之后,对没有部分进行模块化的设计。最终可以完成我们的实验目标。本实验的具体设计框图如下: 提供适当的输入源;彩灯循环控制系统主要是实现我们实验目标中的左循环、右循环、全灭和全亮的功能;显示电路主要是输出显示我们的实验结果。 1.2方案选择

1.2.1方案一:74ls193为主控电路 74ls193是双时钟4位二进制可逆的集成计数器,其双时钟可以实现加计数或 减计数,当计数时钟脉冲从UP 输入时,集成芯片实现加法计数过程,计数过程为0000到1111→0000;当计数时钟脉冲从DOWN 输入时,集成芯片实现减法计数过程。CLR 是异步清零端,高电平有效;~LOAD 是异步欲置数控制端,低电平有效;预置数数据输入端包括A 、B 、C 、D,D 为最高位,A 为最低位; 本电路的系统方案框图为: 图2 74ls193为主控流水彩灯电路框图 简要介绍:利用555计时器所组成的多谐振荡器产生频率为1Hz 的脉冲信号,并将信号送到74ls193的时钟,将清零端CLR 与QD 相连,在进行加计数时,数据到了1000即8时,系统清零而回到0000,即0。在进行减计数时,四输入与非门的输入与QA 、QB 、QC 、QD 相连,输出与置位端~LOAD 相连,DCBA 接0111,即出现输出为1111时,电路回到0111即7,译码器将74ls193的输出地址转换为高低电平并使LED 发光。 仿真电路图如下图所示:

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

循环彩灯系统设计讲解

课程设计报告 题目:循环彩灯系统设计 课程名称:电子技术课程设计学生姓名: 学生学号: 年级:15级 专业:电子信息工程 班级:1班 指导教师: 电子工程学院制 2017年3月

目录 1设计的任务与要求 (1) 1.1 课程设计的任务 (1) 1.2 课程设计的要求 (1) 2 循环彩灯设计方案制定 (1) 2.1 循环彩灯设计的技术方案 (1) 2.2 循环彩灯系统设计的原理 (1) 3 循环彩灯设计方案实施 (2) 3.1 循环彩灯单元模块功能及电路设计 (2) 3.2 循环彩灯电路参数计算及元器件选择 (8) 3.3 循环彩灯系统整体电路图 (9) 4 循环彩灯系统设计的仿真实现 (9) 4.1 仿真软件介绍 (9) 4.2循环设计仿真实现 (10) 5 总结及心得体会 (10) 6参考文献 (11)

循环彩灯系统设计 电子工程学院 电子信息工程专业 1设计的任务与要求 1.1 课程设计的任务 利用Multisim 仿真软件和电子元器件,设计并制作一个循环彩灯系统。 1.2 课程设计的要求 由八只LED 灯组成的彩灯系统,要以一定的花型循环,循环间隔可自行定义。 2 循环彩灯设计方案制定 2.1 循环彩灯设计的技术方案 图1原理框图 2.2 循环彩灯系统设计的原理 555定时器组成多谐振荡电路提供震荡脉冲,利用计数器产生的脉冲控制译码 器,利用多块译码器可实现LED 灯的多种方式循环显示,增添效果显示。 R1?R2给电容C1充电,使逐渐升高, 当时,3脚(Q 端)输出为高电平。当上升到 超过时,3脚输出仍为高电平。当继续上升到略超过时,RS 触发器状态发生翻转,3 脚输出为低电平,同时C1经 R2及7脚内导通的放电管VT 到地放电,迅速下降。 当下降到略低于时,触发器状态又翻转,3脚输出变为高电平。同时,7脚内导通的 放电管VT 截止,电容 C1再次进行充电,其电位再次上升,一直循环下去。根据, 可以看出,通过改变电位器R2的电阻值的大小,即可以改变振荡器的振荡周期,从 而改变3脚输出高低电平的转换时间,进而改变流水灯的速度。

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

彩灯循环控制系统的设计与实现

彩灯循环控制系统的设计与实现 【摘要】本文主要就是结合当下对LED灯的需求,展望了现在的LED灯被应用的情况,提出了现在LED彩灯使用中在硬件层面就固定的灯的花型,不能灵活变化使用的问题。提出了一个彩灯循环控制的方案。想要通过计算机程序语言,结合单片机控制来设计一个彩灯循环控制系统。想要通过硬件的合理选择,还有程序的合理选择来完成一个可以灵活使用的彩灯循环控制系统。本文通过设计背景的简述开始引入。然后主要就彩灯循环控制系统的硬件部分的设计使用和选择,还有系统部分的选择使用作出介绍。最后做了仿真,并根据仿真得出结果。最后就整个设计做了总结。 【关键词】单片机;LED灯;循环控制系统;C语言; 引言 我们现在的城市里,越来越多的使用大量的彩灯,或者一些新型装饰材料来美化城市。正是因为我们的国家现在开始进入到一个全新的发展时期,城市居民衣食温饱的问题已经逐渐的解决,而人们对于文化的需求,对于美的需求却在越来越高。正是因为这样这样的原因,我们的LED灯就开始大量的使用于我们的城市装饰中。特别是晚上,彩灯环绕的城市,都是靠我们大量的LED灯在发挥着巨大的作用。 1、彩灯循环控制系统的设计项目的分析 1.1循环彩灯控制系统的需求背景 我们现在的城市运行中LED灯已经在发挥着巨大的作用。比如我们的证劵交易市场的大厅里,所有的大屏幕都是用LED灯组成的大屏幕,我们的高速公路上很多的电子告示牌也是LED灯大屏幕,甚至我们现在公交车的尾部同样安装了一些小型的LED灯广告牌,我们的大型公交站,交通信号灯,街边的广告牌,城市高架桥上的提示灯,装饰灯,也都是使用我们的LED灯来组成的。其实现在个人使用的LED灯装饰也不少了,很多人的汽车,摩托车,电动车都会使用一些LED灯的装饰,所以LED灯的需求还在越来越大。我们的生活已经离不开这样的一种产品了,它用它的诸多的优势来给我们的生活提供越来越多的便利。正是因为我们的LED灯有很多的优势,比如LED的使用就非常的符合现在越来越提倡的绿色环保理念。这样的的产品又因为造价很低,使用的成本也很低就让我们越来越多的场合都可以使用它。而且现在的LED灯的使用寿命很长,完全符合我们的提倡的可持续发展的理念。但是现在多出情况下的LED装饰灯,都直接是根据不同的需求,不同的环境,直接就把灯的发光方式固定在了硬件的层面,没有办法灵活的根据不同的场合而变化出不同的效果,很多的类似产品没有使用到如今的计算机程序控制。所以我们就希望更多的LED产品能够越来越多的使用到计算机编程,而实现这些彩灯的灵活变化,也就能更能够体现出它更多的优势。能够给我们带来更多的便利。 1.2循环彩灯控制系统的功能分析和设计方案简述 1.2.1循环彩灯控制系统的设计想法 本设计的想法,就是想通过单片机,让LED彩灯实现一些灵活的变化。主要的就是想要通过Keil环境下,用计算机C语言编程实现彩灯的循环控制。在基于STC89C52单片机的基础之上,使用C语言进行编程,控制彩灯实现一些不同的图案,还有不同的时间有不同的变化。希望通过简化电路,提高彩灯的使用效率,也希望让先进的计算机技术能够融合到现在的彩灯控制中。真正的实现一种彩灯

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

数字电子四色花样彩灯控制器课程设计

课程设计任务书
学年第 学期
学 专
院 : 业: 学
信息工程 通信工程 号 :
学 生 姓 名: 课程设计题目:
多功能彩灯控制器设计
起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任 :
2013 年 12 月 25 日-2014 年 01 月 8 日 信息工程学院
邬春明
下达任务书日期 :2013 年 12 月 15 日

课 程 设 计 任 务 书
1.设计目的:
加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路 设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论 文)奠定良好的基础。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :
设计一个四花样自动切换的彩灯控制器。八个一组的彩灯按多种不同的方式显示, 组成不同的视觉效果。要求实现: (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从 1~8 从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕 :
1、课程设计说明书(论文) 2、电路的计算机仿真(EWB 等,仿真时可用仿真工具中的信号源) 3、答辩
4.主要参考文献:
[1] [2] [3] [4] [5] 周常森. 电子电路计算机仿真技术.山东科技出版社,2006.02
5.设计成果形式及要求:

彩灯循环显示电路

《数字电子技术课程设计》报告—彩灯循环控制器的电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2011年6月12 日

1.课程设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。巩固所学理论,提高动手能力、创新能力和综合设计能力。为今后工作奠定坚实的基础。 2.课程设计要求 2.1彩灯能够自动循环点亮。 2.2彩灯循环显示且频率快慢可调。 2.3该控制电路具有8路以上的输出。 3.电路组成框图 此电路主要由三部分组成 振荡电路计数驱动电路显示电路 4.元器件清单 元器件名称个数型号主要参数 集成芯片 1 4017BD-5V 集成芯片 1 LM555CN 电阻 3 1K,1K,300 接地 2 滑动变阻器 1 1K 电容 3 10nF,1uF,1nF 直流电源 1 5V 发光二极管10 LED 5.各功能块电路 5.1振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。

U1 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2VCC 5V R11k|?R21k|?C110nF C2 1nF 20 4 VCC R31k|? Key=A 50% 1517 16 555定时器组成的多谐振荡电路 U4 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 5.2 4017BD 工作原理 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1-O9)均为低电平。CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光,此时4017芯片则用CP0时钟输入端,用上升沿来计数。

彩灯循环控制电路

课程设计(论文) 题目名称________________ 彩灯控制器_______________ 课程名称______________ 电子技术课程设计____________ 学生姓名__________________ 刘标___________________ 学号 ____________ 0941201062 ____________ 系、专业___________ 电气工程系09电力一^班_______ 指导教师__________________ 陈邈___________________ 2011年12月9日

邵阳学院课程设计(论文)任务书 2?此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等) 主编张克农?数字电子技术?高教出版社出版?第一版.2006年 彭介华主编?电子技术课程设计指导》?高教出版社出版?第一版.2002年 曾建唐主编?《电工电子基础实践教程》?机械工业出版社.2002年 康光华主编.《电子技术基础》.高教出版社出版.第四版.1999年 电子电工实验室可以提供的主要仪器设备: 示波器型号规格VP-5220、电子学习机型号规格WL-V万用表MF10;以及分立元件、或中规模集成芯片。 五、进度安排 2011年11月28日-29日:收集和课程设计有关的资料,熟悉课题任务何要求; 2011年11月30日-12月1日:总体方案设计,方案比较,选定方案的论证及电路的工作原理; 2011年12月2日-7日:单元电路设计,参数计算,元器件选择,电路图; 2011年12月8日;整理书写设计说明书 2011年12月9日:答辩 六、教研室审批意见 教研室主任(签字):年月日 七卜主管教学主任意见 主管主任(签字):年月日 八、备注 指导教师(签字):学生(签字):刘标

单片机控制的循环彩灯控制系统的设计实习报告

一、实习目的《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。二、实习内容利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。三、设计过程:1、能输出4种花型,并能由人工进行花型的切换。(按键) *利用单片机8031的P1.7做总开关,P1.0、P1.1做花型控制开关,P1.5、P1.6做调速开关。实习花型:(1)使彩灯从右到左逐一循环点亮。(2)使彩灯交替闪烁。(3)使彩灯从右到左逐一点亮,当全部点亮后同时闪烁一次。(4)使彩灯从中间到两边顺序点亮,直至全部点亮;再将彩灯从两边到中间熄灭,直至全部熄灭。 2、循环彩灯的循环速度可调。 *P1.5做加速控制开关,P1.6做减速控制开关。3、按键要求:(1)启动/

暂停。按动一次启动,再按一次暂停。是一个双态 转换键*“1”---启动,“0”---停止。(2)花型变化。 按一次,立即停止当前花型的显示,转换为下一种 花型。4种花型可以循环切换。表1.1 拨动开关 与对应的花型 P1.0 P1.1 花型 0 0 花型1 1 0 花型 2 0 1 花型3 1 1 花型4 (3)速度+。按键一 次,速度略加快一点。速度-。按键一次,速 度略减慢一点。表1.2 拨动开关功能表P1.5 P1.6 P1.7 功能功能功能 0 0 0 无加速无减速 停止 1 1 1 加速减速启动 4在LED显示器上显 示相应的花型号。5、显像管显示相应数据 花型显像管 A 花型1 B 花型2 C 花型3 D 花型4 6、利用软件子程序或单片机 内部的定时/计数器实现定时功能,以模拟循 环速度的基准值。四、实习器材TDN86/51 二合一微机实验教学系统1台,配套计算机 一台,连接导线若干五、实验原理图: 如上图所示,8031的P0口接8155的AD0-7口,P2.7和P2.6 分别接了8155的片选线和I0口,而8031的P1口做开关接口, 8155的PA和PC接显像管的字位和字形,PB接LED。六、程

彩灯循环显示电路

计算机科学学院课程设计报告 课程数字逻辑 题目彩灯循环显示电路 年级2010级 专业计算机科学与技术 学号学生 任课教师 2014年 5 月26日

课程设计 题目 彩灯循环显示电路 验收时间验收 地点 9#307 指导 教师 小组 成员 具体分工备注 课题总体设计思想概述 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

设计目的 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数序列,音乐符号序列...... 如此周而复始,不断循环。 设计原理基本组成方框图: 这个设计主要靠计数器来实现的,电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 通过电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然 序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设 计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工 作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

数电课设——彩灯循环显示控制电路设计

课程设计 题目彩灯循环显示控制电路设计学院 专业 班级 姓名 指导教师 2009 年7 月 2 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件; 芯片:74HC390,74HC160,74HC113等。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计出完整的彩灯循环显示控制电路设计电路设计; 2、对电路原理各部分进行准确的分析; 3、写出电路的工作过程; 4、对设计电路进行准确的仿真; 5、能够分析出理论与实际的误差原因; 6、本课设的技术要求较简单,能了解原理及分析仿真结果即可。 时间安排: 19周理论讲解及任务安排; 20周方案设计、仿真及制作; 20周答辩。 指导教师签名: 2009 年 7 月 2 日 系主任(或责任教师)签名:年月日

目录 摘要.............................................................. I Abstract........................................................... I I 1、Multisim软件简介 (1) 2、设计目的、任务及要求 (3) 2.1设计目的 (3) 2.2设计任务 (3) 2.3设计要求 (3) 3、设计方案比较 (4) 3.1数列的循环方案比较 (4) 3.2数字显示的部分 (5) 3.3方案选择 (6) 3.4方案的确定 (6) 4、电路设计 (7) 4.1芯片介绍 (7) 4.2原理设计 (9) 4.2.1数列循环电路设计 (9) 4.2.2序列显示电路设计 (10) 4.2.3二分频电路的设计 (12) 4.2.4脉冲产生电路的设计 (12) 5、总原理图设计 (14) 6、电路仿真 (16) 6.1二分频仿真 (16) 6.2脉冲电路仿真 (17) 6.3整体仿真 (18) 7、结语 (19) 参考文献 (20) 附录 (21)

单片机控制的循环彩灯控制系统的设计

单片机控制的循环彩灯控制系统的设计 一、实习目的 《单片机原理与接口技术》课程实习安排在该课程理论教学结束后进行。其目的是通过实习,使学生进一步弄懂所学到的课本知识,巩固和深化对单片机的结构、指令系统、中断系统、键盘/显示系统、接口技术、系统扩展、定时/计数控制、程序设计、应用开发等基本理论知识的理解,提高单片机应用技术的实践操作技能,掌握单片机应用系统设计、研制方面的一般方法,培养利用单片机进行科技革新、开发和创新的基本能力、为毕业后从事和单片机相关的工作打下一定的基础。 二、实习内容 利用TDN86/51二合一微机实验教学系统设计一个用8051单片机控制的循环彩灯控制系统。 三、实习器材 TDN86/51二合一微机实验教学系统1台,配套计算机一台,连接导线若干。 四、系统仿真图

五、软件流程图

选择花型 六、程序设计 1、程序是按照模块化设计的,一共分为四大模块 1)基本程序模块 延时程序、设置按键处理程序、主程序初始化及初值设定 2)LED控制程序 3)取消按键抖动程序 4)控制程序 2、设计要求 能输出4种花型,并能由人工进行花型的切换。可以使用按键,也可以使用波动1)开关来实现切换,方法不限。 参考花型: (1)使彩灯从右到左逐一循环点亮。 (2)使彩灯交替闪烁。

(3)使彩灯从左到右逐一点亮,当全部点亮后同时闪烁一次。 (4)使彩灯从两边到中间顺序点亮,直至全部点亮;再将彩灯从中间到两边熄灭,直至全部熄灭。 2)循环彩灯的循环速度可调。 按键要求: (1)启动/暂停。按动一次启动,再按一次暂停。是一个双态转换键。 (2)花型变化。按一次,立即停止当前花型的显示,转换为下一种花型。4种花型可以循环切换。 (3)速度+。按键一次,速度略加快一点。 (4)速度-。按键一次,速度略减慢一点。 3)在LED显示器上显示相应的花型号。 3、系统总体设计 充分利用TDN86/51二合一微机实验教学系统的硬件资源来实现循环灯的功能,因此: (1)利用8051的P1端口接发光二极管,以模拟彩灯控制。 (2)利用8051的P3端口接拨动开关;利用拨动开关提供逻辑0和逻辑1,模拟按键操作,以实现4种花型之间的切换、加快循环速度、减慢循环速度以及暂停循环灯循环等操作,具体定义如表1.1和表1.2所示。 表1.1 拨动开关与对应的花型 表1.2 拨动开关功能表 (3)8051通过8155对LED显示器控制显示相应的花型编号。

彩灯循环控制电路()

电子技术课程设计 ——四路彩灯控制器设计与制作 学院: 电子信息工程学院 专业、班级: 姓名: 学号: 指导教师: 2011年12月

目录 一.设计任务与要求――――――――――――――-(2)二.总体框图――――――――――――――――――(3)三.选择器件――――――――――――――――――(5)四.功能模块――――――――――――――――――(11)五.总体设计电路――――――――――――――― (14) 六.课程设计心得――――――――――――――― (16)

四路彩灯控制器设计与制作 一、设计任务与要求 设计一个循环可预置序列发生器,并用一控制彩灯的循环显示。不同的预置产生不同的效果。 实现循环序列发生器和彩灯控制电路,使得彩灯按一定的规律循环显示。假定循环规律为:L1—L8的状态是00001111(0表示灭,1表示亮),每隔一秒灯L1—L8的状态依次循环一位,即: 设计控制电路,可自动预置4种不同的初状态,每隔64秒改变一种,并在这四种初状态循环,使得彩灯定时改变显示的效果,假定四种不同的初状态为:00001111,00010001,00110011,01110111 二、总体框图 时钟信号发生电路部分: 振荡器有多种振荡器电路,其中(a)图为CMOS非门构成的振荡器,(b)图为石英晶体构成的振荡器,(c)图为555构成的多谐振荡器。 CMOS非门构成的 振荡器的振荡周期T=1.4RC,555构成的振荡器的振荡周期T=0.7(R 1+2R 2 )C。

我最终还是选择了555构成的振荡器,因为555使用起来方便、简单。通过调节R1,R2和C1的大小调节振荡频率以达到1HZ的秒钟连续脉冲 图1 CMOS非门构成的振荡器(a) 图2石英晶体振荡器(b)

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

相关文档
最新文档