彩灯循环控制电路

彩灯循环控制电路
彩灯循环控制电路

课程设计说明书

课程设计名称:电子技术课程设计

题目:彩灯循环控制电路

学生姓名:易秀花

专业:电气工程与自动化

学号: 312008********* 指导教师:胡兵

成绩

摘要:可编程的彩灯控制电路很多,构成方式和采用的集成片种类、。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,脉冲信号发生器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用555组成的多谐振荡器、寄存器、计数器和数据选择器等来实现,其特点是用发光二极管显示,能实现可预置编程循环功能。

关键字:彩灯,集成片,寄存器,计数器,555定时器组成的脉冲信号发生器Abstract: The programmable control circuit a lot of lights, constitute an integrated approach and use of film types. Most of the lights control circuit are realized with digital circuits, for example, the scale of integrated circuits used in the implementation of the lights control circuit mainly used for counter, decoder, pulse signal generator and shift register such integration.The design of the programmable control circuit is to use lanterns composed of multivibrator 555, registers, counters, and data selector, etc. to achieve, which is characterized with light-emitting diode display, able to function can be pre-programmed cycle.

Keywords: lantern, integrated chip, registers, counters, consisting of 555 timer pulse generator

目录

1 前言 1 2总体方案设计 3 2.1方案比较 3 2.2方案论证 4 2.3方案选择 4 3单元模块电路设计 5 3.1时钟信号发生器 5 3.2序列信号发生器 9 3.3移位输出显示电路 14 4软件设计 17 4.1软件Proteus仿真软件 17

4.2Altium Designer软件 18 4.3软件的设计结构图 20

5系统调试 21 5.1 系统的调试 21 6分析与收获 22

7设计总结 23

8参考文献 24

附录:彩灯循环控制原理图 25

1前言

1.1 序言

由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯电路则不能胜任。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会

的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。

本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的借鉴意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。

1.2目前彩灯的应用情况

LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、

控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照明灯,在超大屏LED设计中也应用了此类的设计思想,随着近几年LED技术的不断发展LED发光

第一页

亮度得到了很大提高。

1.3主要工作概述

本文所要设计的八路彩灯的功能要求是通过手动开关操作,实现彩灯的两亮两灭的左移右移和全亮全灭的功能。以及在正式试验之前对彩灯的预置数功能,本实验的扩展功能也可以实现一亮一灭,三亮一灭,或者两亮的循环移位功能。本课题内容属于软件电路的设计与应用方面,实现过程包括总体方案的提出比较及选择、电路原理设计、元器件(芯片)参数计算。

针对现在彩灯的广泛应用,可见彩灯循环是今后提高节假日气氛的重要因素之一,它的发展深度将更为广泛,使用率将会大幅度提高。本课题设计的八路彩灯循环控制电路,在今后的生活中,在投入生产时,可以是多路彩灯,将集成芯片级联使用,扩展其功能。本设计是由时钟信号发生器电路、序列信号发生器电路和移位输出显示电路组成。负载变化频率高,变换速度快,使人有眼花

缭乱之感,分为多灯流动、单灯流动等情形,将会更为广泛的应用。

2总体方案设计

2.1方案比较

方案二:本方案是由555组成的多谐振荡器作为信号的脉冲发生器,74161计数器和74153双向数据选择器组成的序列信号发生器以及移位输出显示电路所共同组成。555多谐振荡器组成的信号脉冲源作为74161计数器、74194移位寄存器的clk连续脉冲信号。通过改变电阻、电容的大小,可以改变74161计数器的计数快慢程度,74161的输出端:Q0Q1作为74153的S0S1,Q2控制74153的两个使能端。双向数据选择输入端接SWDIP-8双端的开关‘控制它的输入。74153的两个输出端连接一个二输入的与非门,它的输出通过连接两个SWDIP-4接入74194的数据输入端,74194的两个芯片的S1S0通过SWDIP-2开关接电源以及电阻到地。改变SWDIP-2的通断状态,可以实现预置数,右移、左移的功能。

2.2方案论证

方案一:该系统是利用555多谐振荡器和7490计数器以及138译码器和74194移位寄存器的彩灯显示功能。555发出脉冲信号,移位寄存器由计数器和译码器控制,1s的时间间隔,让彩灯实现间亮间灭的功能

方案二:该方案是使用555振荡脉冲电路和循环移位控制电路以及彩灯显示电路实现它的全亮全灭,二亮二灭,以及它的扩展功能一亮一灭、三亮一灭的循环、还有就是在实现各功能之前时的预置数功能,此系统都是通过开关控制的,比较方便。

2.3方案选择

上述两个方案最大区别就是,方案一采用的是译码器输出控制寄存器,实现功能的。方案二采用的是74153双向数据选择器输出端控制移位寄存器的输入端,中间是开关连接的。很方便,价格也低廉,通过上述方案的比较,最后我

选择了方案二。

3单元模块电路设计

3.1 时钟信号发生器

主要用来产生脉冲信号。因为流水灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以可以采用555组成多谐振荡器,其输出脉冲作为下一级的时钟信号。555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为7555,除单定时器外,还有对应的双定时器556/7556。555定时器的电源电压范围宽,可在5~16V工作,最大负载电流可达200mA,7555可在3~18V工作,最大负载电流可达4mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。

555定时器构成多谐振荡器,组成信号产生电路接通电源后,VCC通过电阻R1、R2给电容C充电,充电时间常数为(R1+R2),电容上的电压vC按指数规律上升,当上升到VREF1=2VCC/3时,比较器C1输出高电平,C2输出低电平,RS=10,触发器被复位,放电管T28导通,此时v0输出低电平,电容C开始通过R2放电,放电时间常数约为R2C,vC下降,当下降到VREF2=VCC/3时,比较器C1输出低电平,C2输出高电平,RS=01,触发器被置位,放电管T28截止,v0输出高电平,电容C又开始充电,当vC上升到时VREF1=2VCC/3,触发器又开始翻转。如此周而复始,输出矩形脉冲。其电路原理图如3.1所示。

图3.1

按一下运行键后,可看见输出端是出现高低电平交替,时间间隔是由电路中的电容和电阻决定。因为课程设计的任务中要求时间间隔为一秒,因此在仿真时,电阻和电容值还需要改变。从而改变频率,将脉冲信号输出。

脉冲信号发生器是由555定时器连接而成,工作电压为+5v。图3.2所示是555的引脚排列情况,表3.1是它的引脚功能。

图3.1

将管脚2.6输入端连接到一起,将管脚5通过一个电容接地,将7管脚通过电阻和电容到地,在通过一个电阻接到电源上,3管脚作为脉冲的输出端。电容是0.00001F,电阻是40K,电源和端口7之间的电阻是100K,就可以实现时间间隔为一秒。

振荡周期的计算:多谐振荡器的振荡周与两个暂稳态时间有关,两个暂稳态时间分别由电容充电、放电时间决定。设电路的第一暂稳态和第二暂稳态时间分别为T1、T2,根据以上分析所得电路状态转换时VI的几个特征值,可以计算电路振荡周期的值。

T1的计算:t1作为第一暂稳态起点,t2作为第二暂稳态。T1=t2-t1,Vi(0+)=V

=0V,VI(t为无穷大)=VDD,t=RC,根据RC电路过度过程的分析可知,当VI由0v 变化到Vth所需要的时间为 T1=ln(/)

RC VDD Vdd Vth

T2的计算:同理,t2作为第二暂稳态时间起点,有V(0+)=Vdd+ V=Vdd,V(t为无穷大)=0v

,t=RC,由此可以求出T2=ln(/)

RC Vdd Vth

所以 T=T1+T2= T1=ln(/)

RC Vdd Vth

RC Vdd Vdd Vth

-+ln(/)

将Vth=Vdd/2带入上式有 T=RCln(4)=1.4RC

所以T=1.4RC=1?0.00001*R=1

所以 R=100000=100K

3.2序列信号发生器

在数字信号的传共有74161和74LS161两种线路结构模式,其主要电特性的典型值如下:

称为序列信号。产生序列信号的电路称为序列信号发生器。序列发生器的构成方法有多种,比较简单、直观的方法是用计数器和数据选择器组成。可以由四位同步二进制计数器74LS161和八选一数据选择器74LS151或者双四选一的数据选择器74LS153组成。

74161是4位二进制同步计数器(异步清零,)161为可预置的四位二进制同步计数器, 161的清除端是异步的。当清零端CLEAR为低电平时,不管时钟端CLOCK状态如何,即可完成清除功能。

161的预置是同步的。当置入控制器LOAD为低电平时,在CLOCK上升沿作用下,输出端QA-QD与数据输入端A-D相一致。对于74161,当CLOCK由低至高跳变或跳变前,如果控制端ENP、ENT为高电平,则LOAD应避免由低至高电平的跳变,而74LS161无此种限制。

161的计数是同步的,靠CLOCK同时加在四个触发器上实现的。当ENP、ENT 均为高电平时,在CLOCK上升沿作用下QA-QD同时变化,从而消除了异步计数器的计数尖峰。对于54/74161,只有当CLOCK为高电平时,ENP、ENT才允许由高至低电平的跳变,而54/74LS161的ENP、ENT跳变与CLOCK无关。

161有超前进位功能。当计数溢出时,进位端(RCO)输出一个高电平脉冲,其宽度为QA的高电平部分。下图3.3是161对应的管脚图,3.2表是它的管脚功能表。

图3.3

3

Label1

下图是74ALS161计数器的加计数仿真图

3

Label1

74ALS153双向数据选择器:数据选择是指经过选择,把多路数据中的某一路数据传送到公共数据线上,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,74153是一个双四选一的数据选择器,它是由两组四选一数据选择器,共有54/74152、54/74LS153、54/74S153三种线

(1C0—1C3,2C0—2C3)中分别选取一个所需的数据。只有在两组各自的选通端(1G,2G)为低电平时才可以选择数据。下图3.7为74153双向数据选择器的管脚图

图3.7 下表位74153所对应的管脚图功能表:

下面是类似它的74151的仿真波形图

74153的两个输出通过一个与非门去控制下一级的输入,用开关控制。

下图是序列信号发生器的原理图,是由74161和74153相互连接组合而成:如图下图3.8

下图3.8

其中74161的CLK是由前一级的555输出脉冲作为脉冲信号源的。通过控制左上角的swdip-8的开关,可以控制74153的数据输入端,74161的两个输出,控制了74153的地址输入端。其中161中叶有一个swdip-4de开关,用于给74161计数器实现预置数功能的作用,161的进位端因为没有作用,所以悬空着的。

这样通过进行161和153的组合,就连接成了序列信号发生器,为信号做输入准备。

3.3移位输出显示电路

四位双向移位寄存器是采用四个SR触发器,并在1R和1S输入之间接入了一个与非门,这样构成的寄存器,它的最低有效位(LSB)和最高有效位(MSB)的电路排列顺序应从上到下,从左到右。因此,定义移位寄存器中的数据从低位触发器移向高位为右移,移向低位为左移。有时要求在移位过程中,数据任然保持在寄存器中不丢失。此时,只要将移位寄存器最高位的输出接至最低位的输入,或将最低位的输出接至最高位的输入,变可实现环形移位寄存器。

用两个74LS194接成多位双向移位寄存器,移位寄存器是一个具有移位功能的寄存器,是指寄存器中.所存的代码能够在移位脉冲的作用下一次左移或右移,把既能左移又能右移的称为双向移位寄存器,只需要改变左右控制信号便可以实现双向移位要求。根据移位寄存器存取信息大方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。我在本课题设计中采用的是4位双向通用移位寄存器,74LS194,其逻辑图如下图3.9

+

图3.9

向由Q3-Q0),保持及清零。

加器;可用作数据交换,即把串行数据转换为并行数据,或把并行数据转换为串行数据。而在本课题中,我们应用了它的基本逻辑功能。由于课程设计任务的要求,是八路循环,所以,我采用了两片74194级联,来来作为移位输出显示电路。下图3.10就是本次设计的移位输出显示电路图:

图3.10

在整个设计中所用到的器件有:定值电阻,滑动电阻,电容,LED灯,74ALS04(反相器)

7400(与非门),74ALS161(计数器),74ALS153(双向移位寄存器),SWDIP-8(开关),SWDIP-4(开关),SW-SPST(单刀单掷开关),SWDIP-2(开关),74HC794(移位寄存器)等

4软件设计

4.1软件Proteus仿真软件

Proteus软件是来自英国Proteus软件是来自英国Labcenter electronics 公司的EDA工具软件。

Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等。

Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus 产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。

其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE 混合模型SPICE仿真; ARES PCB设计。PROSPICE 仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型.

这个仿真软件比较适合我们初学者使用,安装好这个软件后,打开软件,就可以在模块中画出自己所需要的仿真原理图。当画好以后,点击运行,就可以进行电路仿真了。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

彩灯循环显示电路

《数字电子技术课程设计》报告—彩灯循环控制器的电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2011年6月12 日

1.课程设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。巩固所学理论,提高动手能力、创新能力和综合设计能力。为今后工作奠定坚实的基础。 2.课程设计要求 2.1彩灯能够自动循环点亮。 2.2彩灯循环显示且频率快慢可调。 2.3该控制电路具有8路以上的输出。 3.电路组成框图 此电路主要由三部分组成 振荡电路计数驱动电路显示电路 4.元器件清单 元器件名称个数型号主要参数 集成芯片 1 4017BD-5V 集成芯片 1 LM555CN 电阻 3 1K,1K,300 接地 2 滑动变阻器 1 1K 电容 3 10nF,1uF,1nF 直流电源 1 5V 发光二极管10 LED 5.各功能块电路 5.1振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。

U1 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2VCC 5V R11k|?R21k|?C110nF C2 1nF 20 4 VCC R31k|? Key=A 50% 1517 16 555定时器组成的多谐振荡电路 U4 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 5.2 4017BD 工作原理 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1-O9)均为低电平。CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光,此时4017芯片则用CP0时钟输入端,用上升沿来计数。

彩灯循环显示电路

计算机科学学院课程设计报告 课程数字逻辑 题目彩灯循环显示电路 年级2010级 专业计算机科学与技术 学号学生 任课教师 2014年 5 月26日

课程设计 题目 彩灯循环显示电路 验收时间验收 地点 9#307 指导 教师 小组 成员 具体分工备注 课题总体设计思想概述 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

设计目的 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数序列,音乐符号序列...... 如此周而复始,不断循环。 设计原理基本组成方框图: 这个设计主要靠计数器来实现的,电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 通过电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然 序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设 计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工 作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

彩灯循环电路设计说明

目录 摘要 (Ⅰ) 1 理论知识准备 (1) 2 方案论证 (2) 2.1 备选方案 (2) 2.2 方案选择 (5) 3 电路设计 (7) 3.1 选择器件 (7) 3.1.1 555定时器 (7) 3.1.2 74LS194移位寄存器 (8) 3.2 功能模块 (10) 3.2.1 时钟脉冲产生电路 (10) 3.2.2 彩灯维持电路 (12) 3.2.3 显示电路 (14) 4 电路调试 (15) 4.1 总体电路仿真 (15) 4.2 电路布线 (16) 4.3 电路调试结果 (17) 心得体会 (18) 参考文献 (19)

1 理论知识准备 本次做的彩灯循环控制其实也可以看成是不是用单片机而实现的流水灯电路,流水灯是一串按一定的规律像流水一样连续闪亮。流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。 循环彩灯控制可用多种方法实现,但对现代可编程控制器而言,利用移位寄存器实现最为便利。通常用左移寄存器实现灯的单方向移动;用双向移位寄存器实现灯的双向移动。控制程序中,关键在于数据移位方向的控制。单方向控制的流水灯,使用左移寄存器可容易地实现。如果流水灯的点亮顺序是双向的,则使用双向移位寄存器进行控制。 由于本次设计只是设计了单向的彩灯循环电路,所以彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。 由于本次设计并不是很复杂,所以本设计只采用数字集成电路的555定时器和移位寄存器,产生相应的控制信号,从而控制彩灯的闪烁。数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路自动循环切换的流水彩灯。 该设计可以用做广告牌边框灯光设计,如果用条形光柱代替彩灯,还可以作为广告牌的背景闪烁灯光使用,增强广告的感染力。

LED灯循环显示速度的按键控制设计

目录 第1章硬件电路设计 (2) 1.1电路原理 (2) 1.2数码管显示方案 (2) 1.3 键盘输入方案 (2) 1.4 电源 (2) 1.5 时钟 (3) 1.6晶振电路 (3) 1.7复位电路 (3) 1.8 LED灯电路 (3) 第2章软件设计 (4) 2.1 系统流程图 (4) 2.2 程序设计 (4) 第3章仿真原理图 (8) 3.1 仿真原理图 (8) 3.2pcb图 (9) 3.3 P cb3D输出实物图 (9) 参考文献: (10)

第1章硬件电路设计 1.1 电路原理 本硬件的核心是STC12C5A60S2单片机,我们选用AT89C51。因无需扩展外部RAM。系统采用8位共阳极数码管,用来显示循环点亮的效果。单片机时无须外扩存储器因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。 系统采用4个按键控制,4个按键分别控制4种不同流水灯速度。 系统在P0.0到P0.8上接了8个发光二极管,在按一下调速按键的时,蜂鸣器鸣叫一声,P0.0的二极管亮,此时从P0.0到P0.8的二极管依次点亮,数码管显示其调速按键的序号。 1.2 数码管显示方案 通常数码管显示有两种方式:动态显示和静态显示。 静态显示的优点是程序简单、显示亮度有保证、单片机CPU的开销小,节约CPU的工作时间。但占用I/O口线多,每一个LED都要占用一个I/O口,硬件开销大,电路复杂。需要几个LED就必须占用几个并行I/O口,比较适用于LED数量较少的场合。当然当LED数量较多的时候,可以使用单片机的串行口通过移位寄存器的方式加以解决,但程序编写比较复杂。 LED动态显示硬件连接简单,但动态显示扫描方式需要占用CPU较多的时间,在单片机没有太多实时测试任务的情况下可以采用。 本系统需要采用1位LED数码管来显示按键序号,故本系统选择静态显示方案。 1.3 键盘输入方案 单片机的键盘结构可以采用独立式按键和矩阵式键盘两种。独立式按键指直接用I/O口线构成单个按键电路。可在系统中键较少时使用。在按键比较多的时候可采用矩阵式键盘。本系统要设置4个键,这4个按键分别调节流水灯的速度,已达到调速的目的。 1.4 电源 VCC-芯片电源,接+5V。VSS - 接地端。用万用表测试单片机引脚电流一般为0v或者5v,这是标准的TTL电平,但有时候在单片机程序正在工作时候测试结果并不是这个值而是介于0v-5v之间,其实这之是万用表反映没这么快而已,在某一个瞬间单片机引脚电流还是保持在0v或者5v的。

彩灯循环控制电路

课程设计(论文) 题目名称________________ 彩灯控制器_______________ 课程名称______________ 电子技术课程设计____________ 学生姓名__________________ 刘标___________________ 学号 ____________ 0941201062 ____________ 系、专业___________ 电气工程系09电力一^班_______ 指导教师__________________ 陈邈___________________ 2011年12月9日

邵阳学院课程设计(论文)任务书 2?此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等) 主编张克农?数字电子技术?高教出版社出版?第一版.2006年 彭介华主编?电子技术课程设计指导》?高教出版社出版?第一版.2002年 曾建唐主编?《电工电子基础实践教程》?机械工业出版社.2002年 康光华主编.《电子技术基础》.高教出版社出版.第四版.1999年 电子电工实验室可以提供的主要仪器设备: 示波器型号规格VP-5220、电子学习机型号规格WL-V万用表MF10;以及分立元件、或中规模集成芯片。 五、进度安排 2011年11月28日-29日:收集和课程设计有关的资料,熟悉课题任务何要求; 2011年11月30日-12月1日:总体方案设计,方案比较,选定方案的论证及电路的工作原理; 2011年12月2日-7日:单元电路设计,参数计算,元器件选择,电路图; 2011年12月8日;整理书写设计说明书 2011年12月9日:答辩 六、教研室审批意见 教研室主任(签字):年月日 七卜主管教学主任意见 主管主任(签字):年月日 八、备注 指导教师(签字):学生(签字):刘标

灯循环显示速度的按键控制设计

. . .. .. . 目录 第1章硬件电路设计 (2) 1.1电路原理 (2) 1.2数码管显示方案 (2) 1.3 键盘输入方案 (2) 1.4 电源 (2) 1.5 时钟 (3) 1.6晶振电路 (3) 1.7复位电路 (3) 1.8 LED灯电路 (3) 第2章软件设计 (4) 2.1 系统流程图 (4) 2.2 程序设计 (4) 第3章仿真原理图 (8) 3.1 仿真原理图 (8) 3.2pcb图 (9) 3.3 P cb3D输出实物图 (9) 参考文献: (10)

第1章硬件电路设计 1.1 电路原理 本硬件的核心是STC12C5A60S2单片机,我们选用AT89C51。因无需扩展外部RAM。系统采用8位共阳极数码管,用来显示循环点亮的效果。单片机时无须外扩存储器因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。 系统采用4个按键控制,4个按键分别控制4种不同流水灯速度。 系统在P0.0到P0.8上接了8个发光二极管,在按一下调速按键的时,蜂鸣器鸣叫一声,P0.0的二极管亮,此时从P0.0到P0.8的二极管依次点亮,数码管显示其调速按键的序号。 1.2 数码管显示方案 通常数码管显示有两种方式:动态显示和静态显示。 静态显示的优点是程序简单、显示亮度有保证、单片机CPU的开销小,节约CPU的工作时间。但占用I/O口线多,每一个LED都要占用一个I/O口,硬件开销大,电路复杂。需要几个LED就必须占用几个并行I/O口,比较适用于LED数量较少的场合。当然当LED数量较多的时候,可以使用单片机的串行口通过移位寄存器的方式加以解决,但程序编写比较复杂。 LED动态显示硬件连接简单,但动态显示扫描方式需要占用CPU较多的时间,在单片机没有太多实时测试任务的情况下可以采用。 本系统需要采用1位LED数码管来显示按键序号,故本系统选择静态显示方案。 1.3 键盘输入方案 单片机的键盘结构可以采用独立式按键和矩阵式键盘两种。独立式按键指直接用I/O口线构成单个按键电路。可在系统中键较少时使用。在按键比较多的时候可采用矩阵式键盘。本系统要设置4个键,这4个按键分别调节流水灯的速度,已达到调速的目的。 1.4 电源 VCC-芯片电源,接+5V。VSS - 接地端。用万用表测试单片机引脚电流一般为0v或者5v,这是标准的TTL电平,但有时候在单片机程序正在工作时候测试结果并不是这个值而是介于0v-5v之间,其实这之是万用表反映没这么快而已,在某一个瞬间单片机引脚电流还是保持在0v或者5v的。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

数电课设——彩灯循环显示控制电路设计

课程设计 题目彩灯循环显示控制电路设计学院 专业 班级 姓名 指导教师 2009 年7 月 2 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件; 芯片:74HC390,74HC160,74HC113等。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计出完整的彩灯循环显示控制电路设计电路设计; 2、对电路原理各部分进行准确的分析; 3、写出电路的工作过程; 4、对设计电路进行准确的仿真; 5、能够分析出理论与实际的误差原因; 6、本课设的技术要求较简单,能了解原理及分析仿真结果即可。 时间安排: 19周理论讲解及任务安排; 20周方案设计、仿真及制作; 20周答辩。 指导教师签名: 2009 年 7 月 2 日 系主任(或责任教师)签名:年月日

目录 摘要.............................................................. I Abstract........................................................... I I 1、Multisim软件简介 (1) 2、设计目的、任务及要求 (3) 2.1设计目的 (3) 2.2设计任务 (3) 2.3设计要求 (3) 3、设计方案比较 (4) 3.1数列的循环方案比较 (4) 3.2数字显示的部分 (5) 3.3方案选择 (6) 3.4方案的确定 (6) 4、电路设计 (7) 4.1芯片介绍 (7) 4.2原理设计 (9) 4.2.1数列循环电路设计 (9) 4.2.2序列显示电路设计 (10) 4.2.3二分频电路的设计 (12) 4.2.4脉冲产生电路的设计 (12) 5、总原理图设计 (14) 6、电路仿真 (16) 6.1二分频仿真 (16) 6.2脉冲电路仿真 (17) 6.3整体仿真 (18) 7、结语 (19) 参考文献 (20) 附录 (21)

彩灯循环控制电路()

电子技术课程设计 ——四路彩灯控制器设计与制作 学院: 电子信息工程学院 专业、班级: 姓名: 学号: 指导教师: 2011年12月

目录 一.设计任务与要求――――――――――――――-(2)二.总体框图――――――――――――――――――(3)三.选择器件――――――――――――――――――(5)四.功能模块――――――――――――――――――(11)五.总体设计电路――――――――――――――― (14) 六.课程设计心得――――――――――――――― (16)

四路彩灯控制器设计与制作 一、设计任务与要求 设计一个循环可预置序列发生器,并用一控制彩灯的循环显示。不同的预置产生不同的效果。 实现循环序列发生器和彩灯控制电路,使得彩灯按一定的规律循环显示。假定循环规律为:L1—L8的状态是00001111(0表示灭,1表示亮),每隔一秒灯L1—L8的状态依次循环一位,即: 设计控制电路,可自动预置4种不同的初状态,每隔64秒改变一种,并在这四种初状态循环,使得彩灯定时改变显示的效果,假定四种不同的初状态为:00001111,00010001,00110011,01110111 二、总体框图 时钟信号发生电路部分: 振荡器有多种振荡器电路,其中(a)图为CMOS非门构成的振荡器,(b)图为石英晶体构成的振荡器,(c)图为555构成的多谐振荡器。 CMOS非门构成的 振荡器的振荡周期T=1.4RC,555构成的振荡器的振荡周期T=0.7(R 1+2R 2 )C。

我最终还是选择了555构成的振荡器,因为555使用起来方便、简单。通过调节R1,R2和C1的大小调节振荡频率以达到1HZ的秒钟连续脉冲 图1 CMOS非门构成的振荡器(a) 图2石英晶体振荡器(b)

彩灯循环控制电路

课程设计说明书 课程设计名称:电子技术课程设计 题目:彩灯循环控制电路 学生姓名:易秀花 专业:电气工程与自动化 学号: 312008********* 指导教师:胡兵 成绩

摘要:可编程的彩灯控制电路很多,构成方式和采用的集成片种类、。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,脉冲信号发生器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用555组成的多谐振荡器、寄存器、计数器和数据选择器等来实现,其特点是用发光二极管显示,能实现可预置编程循环功能。 关键字:彩灯,集成片,寄存器,计数器,555定时器组成的脉冲信号发生器Abstract: The programmable control circuit a lot of lights, constitute an integrated approach and use of film types. Most of the lights control circuit are realized with digital circuits, for example, the scale of integrated circuits used in the implementation of the lights control circuit mainly used for counter, decoder, pulse signal generator and shift register such integration.The design of the programmable control circuit is to use lanterns composed of multivibrator 555, registers, counters, and data selector, etc. to achieve, which is characterized with light-emitting diode display, able to function can be pre-programmed cycle. Keywords: lantern, integrated chip, registers, counters, consisting of 555 timer pulse generator

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

八路彩灯循环显示控制电路课程设计

目录 1前言 (1) 1.1序言 (1) 1.2目前彩灯的应用情况 (1) 1.3主要工作概述 (2) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3.单元模块电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生器 (7) 3.3 移位输出显示电路 (13) 4软件设计 (16) 4.1Proteus仿真软件 (16) 4.2 Altium designer软件 (16) 4.3软件的设计结构 (18) 5系统调试 (19) 5.1脉冲信号发生器的调试 (19) 5.2序列信号发生器和以为输出显示电路调试 (20) 5.3整体电路的调试 (20) 5.4系统实现的功能 (21) 6设计总结与收获 (22) 7 参考文献 (24) 附录:彩灯显示控制电路原理图 (25)

说明书 1前言 1.1序言 由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯电路则不能胜任。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。 本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的借鉴意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照

彩灯循环控制电路

-- - 电子技术课程设计 ——四路彩灯控制器设计与制作 学院: 电子信息工程学院 专业、班级: :

学号: 指导教师: 2011年12月 目录 一.设计任务与要求――――――――――――――-(2)二.总体框图――――――――――――――――――(3)三.选择器件――――――――――――――――――(5)四.功能模块――――――――――――――――――(11)

五.总体设计电路―――――――――――――――(14) 六.课程设计心得―――――――――――――――(16) 四路彩灯控制器设计与制作 一、设计任务与要求 设计一个循环可预置序列发生器,并用一控制彩灯的循环显示。不同的预置产生不同的效果。 实现循环序列发生器和彩灯控制电路,使得彩灯按一定的规律循环显示。假定循环规律为:L1—L8的状态是00001111(0表示灭,1表示亮),每隔一秒灯L1—L8的状态依次循环一位,即:

设计控制电路,可自动预置4种不同的初状态,每隔64秒改变一种,并在这四 种初状态循环,使得彩灯定时改变显示的效果,假定四种不同的初状态为:00001111,00010001,00110011,01110111 二、总体框图 时钟信号发生电路部分: 振荡器有多种振荡器电路,其中(a )图为CMOS 非门构成的振荡器,(b )图为石英晶体构成的振荡器,(c )图为555构成的多谐振荡器。 CMOS 非门构成的振荡器的振荡周期T=1.4RC ,555构成的振荡器的振荡周期T=0.7(R 1+2R 2)C 。我最终还是选择了555构成的振荡器,因为 555使用起来方便、简单。通过调节R1 ,R2和C1的大小调节振荡频率以达到1HZ 的秒钟连续脉冲

彩灯循环控制电路

电子技术课程设计 ——彩灯循环控制电路

彩灯循环控制电路 、设计任务与要求 1. 设计时钟振荡电路,由555组成时基电路提供计时脉冲 2 ?由计时器实现彩灯的循环显示。 3.循环的速度可调。 、总体框图 图(1)总体框图 根据设计要求和任务,参考广告灯主要的逻辑框图,设计方案可以从以下几个方面考虑。 总体框图如图1,其中利用振荡器产生触发,再利用数控分频器系统将触发 脉冲进行分频,产生不同频率的脉冲,作为顺序脉冲发生器的输入脉冲,当输入脉冲的频率变化时顺序脉冲发生器产生的顺序脉冲也会有不同的频率,从而达到控制彩灯循环时间的目的。产生顺序脉冲后将它加到彩灯循环系统上,使彩灯系统产生简单的循环变化的效果。

三、选择器件 本次课程设计所用器件如表一: 表(1):本试验所有器材如 ) 的应用 在常用的优先编码器电路中,除了二进制编码器之外,还有一类叫做二—十进制优先编码器。他能将帀一西10个输入信号分别编成10个BCD码在阪—回10个输入信号中西的优先权最高,帀的优先权最低。 2)74LS160的应用 它是同步十进制加法记数器,当LOAD端输入底电平时处于预置数状态,D0 D1、D2、D3的数据将会在CP上升沿到达时被置入Q0 Q1 Q2 Q3中,它的预置数是同步的。右图是74LS160的引脚分配图,图中LD为预置数控制端,D0-D3 为数据输入端,C 为进位输出端,RC为异步置零端,Q0-Q3位数据输出端,EP 和ET为工作状态控制端。 3)555定时器应用 国产双极型定时器CB555电路结构图。它是由比较器C i和C2,基本RS触发器和

集电极开路的放电三极管 T D 三部分组成。 V H 是比较器C1的输入端,V 12是比较器C 2的输入端。C i 和C 2的参考电压 V R1和V R2由V CC 经三个五千欧电阻分压给出。在控制 电压输入端 V co 悬空 时, V RI =2/3V CC ,V R 2=1/3V CC 。如果 V co 外接固定电压,则 V RI =V co ,V R 2=1/2V co . R D 是置零输入端。只要在R D 端加上低电平,输出端v o 便立即被置成低电 平,不 受其他输入端状态的影响。正常工作时必须使 R D 处于高电平。图中的数 码1 — 8为器件引脚的编号。 图(2) 555定时器逻辑符号 555定时器是一种中规模集成电路,只要在外部配上适当阻容元件,就可以 方便地构 成脉冲产生和整形电路。 (A ) 电路组成 u o D 图(3) 555定时器内部结构图

数字电路课设--彩灯循环控制电路设计

数字电子技术课程设计报告 题目名称:彩灯循环控制电路设计姓名:程小松 学号:150712162 班级:15电本6班 指导教师:X媛 XX工程技术学院

信息工程与自动化系

数电课程设计任务书 一、设计题目: 彩灯循环控制电路设计 二、设计任务: 1)巩固和加强《数字电子技术基础》课程的理论知识; 2)掌握电子电路的一般设计方法,了解电子产品研制开发过程; 3)掌握电子电路安装和调试及故障排除方法,学会用Multisim软件对进行电路仿真操作; 4)通过查阅手册和文献资料,提升独立分析问题和解决问题的能力; 5)培养创新能力和创新思维。 三、设计报告: 1、格式要求: ⑴页面:A4,上下左右页边距2.0厘米。 ⑵题目:小二黑体加粗;大标题:三号黑体加粗;小标题:小四黑体加粗;正文:五号宋体。 ⑶页码:底部居中。 2、报告内容: 1.封面 2.内容提要 3.正文 1)设计要求 2)题目分析 3)设计思路与原理 4)电路图的仿真 5)心得体会 6)参考文献 四、进度安排:

五、参考资料: [1] 康华光.电子技术基础-数字部分[M].华中理工大学教研室. [2] 高吉祥.电子技术基础-实验与课程设计[M]. 电子工业. [3] 付子仪.电子技术课程指导书[M]. XX理工大学.

目录 一、内容提要1 二、课程设计目的错误!未定义书签。 三、课程设计要求1 四、电路组成框图2 五、元器件清单3 六、各功能块电路图3 6.1 脉冲信号发生器3 6.1.1 555定时器3 6.1.2 多谐振荡器5 6.2 顺序脉冲发生器6 6.3 彩灯循环系统9 6.4仿真电路总图12 七、结果分析12 八、总结1

灯的循环显示控制电路

数字电子课程设计

灯的循环显示控制电路 一设计课题的意义 灯是人们在生活中运用最多的一件电设备,是人们生活中依赖的设备;再很早以前灯只是做为一种照明的工具给人们的生活来更大的方便,但是随着社会的稳定、科学技术、电子技术、的迅速的发展产生了很多不同种类的灯(如:荧光灯、发光二极管、LED显示器等)在不同的领域中起到照明、指示等不同的做用。随着人们的生活水平的提高,人们对灯的应用不仅仅是做为一种照明来使用,人们希望灯不仅能给人们的生活做照明还可采取一种技术进行控制灯来给人们的生活增辉添彩。因此对灯的各种控制器件和方法便应运而生。 二总体设计方案 本次设计采用的电路可以控制n路彩灯循环闪亮,适用于家庭装饰或节日灯饰。其核心电路是一个由NE555型时基集成电路构成的单稳态触发装置。再加上由光电耦合器和双向晶闸管构成的灯光控制电路共同组成该控制电路。

三各部分电路设计 1. 电源电路设计 电源电路是由开关S1、熔断器FU、电源变压器T、整流二极管VD1~VD4、滤波电容器C10和三端集成稳压器I C1组成。 电源部分电路 开关S1选用的是按键自锁式电源开关。作用是用来接通和断开电路电源。 熔断器FU选用的型号是RM7-15型,其额定电压是220V。作用是用来切断由故障引起的短路电流和过负荷电流。 整流二极管VD1~VD4采用的是1N4007型硅整流二极管。有VD1~VD4四个二极管组成整流电流电桥;用来整流有变压器二次侧输出的交流电变成直流电。 滤波电容器C10采用的是耐压为25V的铝电解电容,其作用是滤除整流桥输出的直流电流中的交流成分。 三端集成稳压器I C1采用的是LM7812稳压集成电路,稳压器输

相关文档
最新文档