数字电路试题及答案

数字电路试题及答案
数字电路试题及答案

枣庄学院2011——2012学年度第一学期

《数字电路》考试试卷(B卷)

(考试时间:150分钟考试方式:开卷)

班级:姓名:学号:

考试内容

1.判断题正确的在括号内记“√”,错误的记“X”(共10分,每题1分)1)“0”的补码只有一种形式。()

2)卡诺图中,两个相邻的最小项至少有一个变量互反。()

3)用或非门可以实现3种基本的逻辑运算。()

4)三极管饱和越深,关断时间越短。()

5)在数字电路中,逻辑功能相同的TTL门和CMOS门芯片可以互相替代使用。

()

6)多个三态门电路的输出可以直接并接,实现逻辑与。()

7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。

()

8)采用奇偶校验电路可以发现代码传送过程中的所有错误。()

9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。()

10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。()

二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分)

1.不能将减法运算转换为加法运算。()

A.原码B.反码C.补码

2.小数“0”的反码可以写为。()

A.0.0...0 B.1.0...0 C.0.1...1 D.1.1 (1)

3.逻辑函数F=A⊕B和G=A⊙B满足关系。()

A.F=G B.F’=G C.F’=G D.F=G⊕1

4.要使JK触发器在时钟脉冲作用下,实现输出n

n Q

Q=

+1,则输入端信号应为。

()

A.J=K=0 B.J=K=1

C.J=1,K=0 D.J=0,K=1

5.设计一个同步10进制计数器,需要触发器。()

A.3个B.4个C.5个D.10个

三.两逻辑函数BCD

A

D

C

BC

CD

B

D

C

A

AB

F+

+

+

+

=)

(

1,D

C

D

B

A

F⊕

=

2

求两者的关系。(10分)

图1

R

四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分)

五.已知:TTL 与非门的I OL =15mA ,I OH =400μA ,V OH =3.6V ,V OL =0.3V ;发光二极管正向导通电压V D =2V ,正向电流I D =5~10mA 。求图1所示发光二极管驱动电路中R 的取值范围。(10分)

六.电路及其输入波形如图2所示,试画出电路的输出波形。(5分)

七.逻辑函数D C B A D C B A D C A D C B C B A F ++++=中所有输入变量不能同时为0,且A 、B 变量不能同时为1。化简逻辑函数,并判断是否有竞争冒险,若有则用增加冗余项的方法消除。画出实现的逻辑函数F 的与非门-与非门电路。(15分)

图2

八.用上升沿触发的JK触发器设计一个带有进位输出端,并以自然二进制顺序计数的6进制计数器。要求画出电路图。(15分)

九.试画出图3 所示时序电路的状态转换图,并画出对应于CP(图4所示)的Q1、Q0和输出Z的波形。设电路的初始状态为00。(共15分)

图3

图4

参考答案

一.判断题正确的在括号内记“√”,错误的记“X”(共10分,每题1分)1)“0”的补码只有一种形式。(√)

2)卡诺图中,两个相邻的最小项至少有一个变量互反。(√)

3)用或非门可以实现3种基本的逻辑运算。(√)

4)三极管饱和越深,关断时间越短。(X )

5)在数字电路中,逻辑功能相同的TTL门和CMOS门芯片可以互相替代使用。

(X )

6)多个三态门电路的输出可以直接并接,实现逻辑与。(X )

7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。

(√)

8)采用奇偶校验电路可以发现代码传送过程中的所有错误。(X)

9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。(√)

10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。(√)二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分)

1.不能将减法运算转换为加法运算。( A )

A.原码B.反码C.补码

2.小数“0”的反码可以写为。(AD )

A.0.0...0 B.1.0...0 C.0.1...1 D.1.1 (1)

3.逻辑函数F=A⊕B和G=A⊙B满足关系。(ABD )A.F=G B.F’=G C.F’=G D.F=G⊕1

4.要使JK触发器在时钟脉冲作用下,实现输出n

+1,则输入端信号应为。

n Q

Q=

(B )

A.J=K=0 B.J=K=1C.J=1,K=0 D.J=0,K=1

5.设计一个同步10进制计数器,需要触发器。(B )

A.3个B.4个C.5个D.10个

图1

R

三.两逻辑函数A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分)

解:两函数相等,∑(0,3,4,7,11,12)

四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分)

解:C A BC B A B A AC C B F ??=??=(8分),图(2分)略

五.已知:TTL 与非门的I OL =15mA ,I OH =400μA ,V OH =3.6V ,V OL =0.3V ;发光二极管正向导通电压V D =2V ,正向电流I D =5~10mA 。求图1所示发光二极管驱动电路中R 的取值范围。(10分)

解:(R =270~540Ω)

六.电路及其输入波形如图2所示,试画出电路的输出波形。(5分)

七.逻辑函数D C B A D C B A D C A D C B C B A F ++++=中所有输入变量不能同时为0,且A 、B 变量不能同时为1。化简逻辑函数,并判断是否有竞争冒险,

图2

若有则用增加冗余项的方法消除。画出实现的逻辑函数F 的与非门-与非门电路。(15分)

解:C B D B D C C B D B D C F ??=++=图略。

八.用上升沿触发的JK 触发器设计一个带有进位输出端,并以自然二进制顺序计数的6进制计数器。要求画出电路图。(15分)

解:状态转换表5分,驱动方程5分,电路图5分。

九.试画出图3 所示时序电路的状态转换图,并画出对应于CP (图4所示)的Q 1、Q 0和输出Z 的波形。设电路的初始状态为00。(共15分)

图3

图4

解:该电路中各触发器的驱动方程分别为:

n Q J 10=10=K n

Q J 01=11=K

该电路的状态方程和输出方程分别为:

n n n Q Q Q 1011=+;n

n n Q Q Q 0

110=+;CP Q Z n 0= 根据状态方程和输出方程课画出该电路的状态转换表和状态转换图,如下:

电路的波形图如右:

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

模拟与数字电子电路基础作业答案5

作业5 截止日期:2015-5-25 要求:写出步骤,独立完成 内容:第八章、第十章 1.课本第八章练习8.2。(20分) 提示:参考例8.1。 参考解答:i DS=K/2*(V GS-V T)2=K/2*(V DS-V T)2 i ds=K/2*2*(V DS-V T)*v ds=K(V DS-V T)*v ds 2.课本第八章练习8.6。(20分) 提示:参考8.2.2和8.2.4节,图8.19。 参考解答:v O=V S-R L*K/2*(V GS-V T)2=V S-R L*K/2*(v1-V T)2 在v1=V1时的小信号模型如下: 所以:1)r o=R L; 2)R TH=R L,U TH=-R L*K(V1-V T)*vi 3)r i=

3.课本第八章问题8.2的a, b, c三小题。(20分) 提示: 参考解答:i DS=K/2*(V GS-V T)2 ;v IN=V GS+v OUT ; V GS=v IN-v OUT i DS=K/2*(V GS-V T)2=K/2*(v IN-v OUT-V T)2 ids=K/2*2*(V IN-V OUT-V T)=K(V IN-V OUT-V T)*vin;所以g m=K(V IN-V OUT-V T) vout=ids*R=RK(V IN-V OUT-V T)*vin;vout/vin=RK(V IN-V OUT-V T) 4.课本第十章练习10.16。 提示:参考10.1.3小节。 参考解答:i R1=i R2=i C;v2=R2*i R2; V1=(R1+R2)*i C+V C=(R1+R2)*C*dV C/dt+V C; V C=V1(1-e-t/((R1+R2)*C))=6(1-e-t/0.009)=6(1-e-1000t/9) V2=R2*i R2=R2*i C=R2*C*dV C/dt=2000*3*10-6*6*(-e-1000t/9*(-1000/9))=4e-1000t/9 5.课本第十章练习10.24。假设RC时间常量的值很小。 提示:参考10.7。

脉冲与数字电路——模拟试题一及答案

脉冲与数字电路试题 第一套 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平为 3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( ) A .C Y = B .AB C Y = C .C AB Y += D .C AB Y +=

图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电平 为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++= 图2204 13. 下列消除竞争—冒险的方法中错误的是( )。 A 修改逻辑设计 B 引入封锁脉冲 C 加滤波电容 D 以上都不对 14. 连续86个1同或, 其结果是 ( ) A . 1 B . 0 C . 86 D . 286 15. 主从JK 型触发器是( )。

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电路试题及答案

枣庄学院2011 ——2012 学年度第一学期 《数字电路》考试试卷(B卷) (考试时间:150分钟考试方式:开卷) 班级:姓名:学号: 考试内容 1.判断题正确的在括号内记“√”,错误的记“X”(共10分,每题1分) 1)“0”的补码只有一种形式。() 2)卡诺图中,两个相邻的最小项至少有一个变量互反。() 3)用或非门可以实现3种基本的逻辑运算。() 4)三极管饱和越深,关断时间越短。() 5)在数字电路中,逻辑功能相同的TTL门和CMOS门芯片可以互相替代使用。 () 6)多个三态门电路的输出可以直接并接,实现逻辑与。() 7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 () 8)采用奇偶校验电路可以发现代码传送过程中的所有错误。() 9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。() 10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。() 二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分) 1.不能将减法运算转换为加法运算。()A.原码 B.反码 C.补码 2.小数“0”的反码可以写为。()A....0 B....0 C....1 D. (1) 3.逻辑函数F=A B和G=A⊙B满足关系。()

A .F=G B .F ’=G C .F ’=G D .F =G ⊕1 4.要使JK 触发器在时钟脉冲作用下,实现输出n n Q Q =+1,则输入端信号应为 。 ( ) A .J =K =0 B .J =K =1 C .J =1,K =0 D .J =0,K =1 5.设计一个同步10进制计数器,需要 触发器。 ( ) A .3个 B .4个 C .5个 D .10个 三.两逻辑函数BCD A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分) 四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分) 五.已知:TTL 与非门的I OL =15mA ,I OH =400μA ,V OH =,V OL =;发光二极管正向

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电路_第八章答案

8 数字系统设计基础习题解答 1 自我检测题 [T8.1] 什么是数字系统? 数字系统是指对数字信息进行存储、传输、处理的电子系统。只要包括控制单元和数据处理单元就称为数字系统。 [T8.2] 说明自顶向下的设计方法及步骤。 首先从系统设计入手,在顶层将整个系统划分成几个子系统,然后逐级向下,再将每个子系统分为若干功能模块,每个功能模块还可以继续向下划分成子模块,直至分成许多最基本模块实现。 练习题 [P8.1] 采用“自顶向下”设计方法设计一4位数字频率计,测量范围为0~9999Hz,假设被测信号为标准的方波信号。 解:(1)4位数字频率计的顶层原理图 AA[3..0]BB[3..0]CC[3..0]DD[3..0] (2)底层功能模块的设计 ①CNT10模块设计 根据顶层设计对CNT10模块的功能定义,其VHDL语言源程序编写如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cnt10 IS PORT(clk:IN STD_LOGIC; clr:IN STD_LOGIC; cs:IN STD_LOGIC; qq:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

8 数字系统设计基础习题解答 2 co:OUT STD_LOGIC ); END cnt10; ARCHITECTURE one OF cnt10 IS BEGIN PROCESS(clk,clr,cs) BEGIN IF (clr=‘1’) THEN qq<=“0000”; ELSIF (clk'EVENT AND clk=‘1’) THEN IF (cs=‘1’) THEN IF (qq=9) THEN qq<=“0000”; ELSE qq<=qq+1; END IF; END IF; END IF; END PROCESS; PROCESS(qq) BEGIN IF (qq=9) THEN co<=‘0’; ELSE co<=‘1’; END IF; END PROCESS; END one; 根据频率计的原理图,前级计数器的进位输出作为下一级计数器的时钟输入。由于计数器采用时钟的上升沿触发,因此,计数器模块的进位输出设为低电平有效,以免下级计数器提前进位。 ②LOCK模块的设计 LOCK模块的功能是在锁存信号的上升沿将输入数据锁存到输出端,其VHDL语言源程序为: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;

数字电子技术基础—试题—填空

数字电子技术基础—试题 —填空 Last updated on the afternoon of January 3, 2021

一、填空题:(每空1分,共10分)1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12条、数据线为 8条。 1.八进制数 ) 8 的等值二进制数为() 2 ;十进制数 98 的 8421BCD 码为() 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入高电平。 3 .图15所示电路中的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有两个稳态,它可存储一位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或,与非门,或门 1.(11011)2 =(__27__)10 码的1000相当于十进制的数值8。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F的反函数F。 5.二极管的单向导电性是外加正向电压时导通,外加反向电压时截止。 6.晶体三极管作开关应用时一般工作在输出特性曲线的饱和区和截止区。 7.TTL三态门的输出有三种状态:高电平、低电平和高阻状态。 8. 集电极开路门的英文缩写为OC门,工作时必须外加上拉电阻和电源。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较多。 10.输出n位代码的二进制编码器,一般有 __2n____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

如何看懂电路图之 脉冲电路

在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um 、脉冲周期T 或频率f 、脉冲前沿t r 、脉冲后沿t f 和脉冲宽度t k 来表示。如果一个脉冲的宽度t k =1 /2T ,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK 、2CK 、DK 、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1 )来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2 是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2 是接到一个负电源上的,而且R b1 和R b2 的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C ,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。 脉冲电路的另一个特点是一定有电容器(用电感较少)作关键元件,脉冲的产生、波形的变换都离不开电容器的充放电。 产生脉冲的多谐振荡器 脉冲有各种各样的用途,有对电路起开关作用的控制脉冲,有起统帅全局作用的时钟脉冲,有做计数用的计数脉冲,有起触发启动作用的触发脉冲等等。不管是什么脉冲,都是由脉冲信号发生器产生的,而且大多是短形脉冲或以矩形脉冲为原型变换成的。因为矩形脉冲含有丰富的谐波,所以脉冲信号发生器也叫自激多谐振荡器或简称多谐振荡器。如果用门来作比喻,多谐振荡器输出端时开时闭的状态可以把多谐振荡器比作宾馆的自动旋转门,它不需要人去推动,总是不停地开门和关门。 ( 1 )集基耦合多谐振荡器 图2 是一个典型的分立元件集基耦合多谐振荡器。它由两个晶体管反相器经RC 电路交叉耦合接成正反馈电路组成。两个电容器交替充放电使两管交替导通和截止,使电路不停地从一个状态自动翻转到另一个状态,形成自激振荡。从 A 点或 B 点可得到输出脉冲。当R b1 =R b2 =R ,C b1 =C b2 =C 时,输出是幅度接近E 的方波,脉冲周期T=1.4RC 。

《脉冲与数字电路》试题一(满分100分)

《脉冲与数字电路》试题一(满分100分) 一、填空题(每空1分,共40分) 1、脉冲是指存在时间极短的()或()的波形。在脉冲数字电路中, 常用的是()脉冲。 2、电容器充放电过程中,充放电的快慢取决于RC的大小。RC大,则充放电进行的(); RC小,则充放电进行的()。 3、二极管和三极管都具有()特性。利用二极管的单向导电性,可将其作为 ()使用。处于开关状态下的晶体管,其工作点在()区和()区之间跳动。 4、()是最基本的开关电路,它的特点是输出信号和输出信号反相。 5、触发器是数字电路的一种基本()单元,它能够()一位二进制的 信息。它应具备如下功能:有两个(),在输入信号的作用下,它可以置于()态,也可以置于()态;它还必须具有()功能。 6、对于同步RS触发器,用作计数器时,触发器会发生多次翻转,即出现() 现象。 7、TTL电路的电压传输特性分为()、()、()和() 四个阶段。 8、时序电路逻辑功能的特点是:电路任意时刻的输出信号不仅与当时的() 有关,还与电路原来的状态有关。 9、寄存器是具有能够()、()和传送数码的一种逻辑记忆元件,它 分为()和()两种。 10、计数器是数字系统中能()输入脉冲个数的数字电路。它可用来()、 ()、()。 11、卡诺图化简中,两个相邻最小项合并可消去()个变量;八个相邻最小项合 并可消去()个变量 12、CC7555集成电路由()、()和()、 放电管V以及()组成。 13、集成施密特触发器由()、()、()和() 四部分组成。 二、判断题(每小题1分,共20分) 1、脉冲分压器中的加速电容Ci是使输出电压变化加快,以克服Co的延缓作用。() 2、积分电路的输出延缓了输入的跳变部分。() 3、双稳态电路在电源接通后,电路一定处于V1饱和,V2截止。() 4、逻辑代数中的“加”和普通代数中的“加”完全一样。() 5、TTL电路中,V 6、V7对输入信号起箝位作用。() 6、式子A+AB=A成立。() 7、TTL电路实现了“与非”逻辑功能。() 8、由真值表得到的表达式一定是最简与或式。() 9、一位十六进制可以用四位二进制来表示。() 10、时序电路具有记忆功能。() 11、同步触发器中,CP脉冲到来之前,触发器不工作。() 12、编码和译码是两个相反的过程。() 13、对于JK触发器存在一次变化现象。()

[整理]《数字电子技术基础》习题没答案.

《数字电子技术基础》习题 第一章第一章数字电子技术概述 1.数字信号和模拟信号各有什么特点?描写脉冲波形有哪些主要参数 2.和模拟电路相比,数字电路有哪些优点? 3.在数字系统中为什么要采用二进制?它有何优点? 4.数字电路和模拟电路的工作各有何特点? ⒌把下列二进制数转换成十进制数: 10010110 11010100 0101001 110110.111 101101.101 ⒍将下列数转换为十进制数:1101B 4FBH 110.11B ⒎将下列数转换为二进制数:7.85D 3DF.2BH 256D ⒐将下列数转换为十六进制数:256D 1101.11B 110.11B ⒑将下列十进制数转换为对应的八进刺数: 21 130 27 250 48 1012 95 100.625 ⒒分别用842lBCD码、余3码表示下列各数: (9.04)10 (263.27)10 (1101101)2 (3FF)16 (45.7)8 ⒓列出用BCD码代替二进制的优点 ⒔列出用BcD码代替二进制的主要缺点j ⒕在数字系统的运算电路中使用BCD的主要缺点是什么 ⒖格雷码的另一个名字是什么 ⒗二极管电路及输入电压ui的波形如图1-1所示,试对应画出各输出电压的波形。 图1-1 ⒘半导体三极管的开、关条件是什么?饱和导通和截止时各有什么特点?和半导体二极管比较,它的主要优点是什么? ⒙⒙判断图1-2所示各电路中三极管的工作状态,并计算输出电压u o的值。

图1-2 ⒚N沟造增强型MOS管的开、关条件是什么?导通和截止时各有什么特点?和P沟道增强型MOS管比较,两者的主要区别是什么? 第二章第二章集成逻辑门电路 ⒈请举出生活中有关“与”、“或”、“非”的逻辑概念.并各举两个例子说明。 ⒉如图2-1所示,是二极管门电路,请分析各电路的逻辑功能.并写出其表达式。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路复习指导部分答案

第一章 逻辑代数基础 数制转换 1. 10= ( )2 =( )8=( )16 2. 16=( )2=( )10 3. 2=( )8=( )10 写出下列数的八位二进制数的原码、反码、补码 原码,就是用最高位表示数符(0表示正数、1表示负数)。正数,原码=反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1 1.(-35)10= ( )原码= ()反码=()补码 2. (+35)10 = (00100011 )原码= (00100011)反码=(00100011)补码 3. (-110101)2 = ( )原码= ()反码=()补码 4. (+110101)2 = (00110101 )原码= (00110101)反码=(00110101)补码 5. (-17)8=( )原码= ()反码=()补码 . 将下列三位BCD 码转换为十进制数 根据BCD 码的编码规则,四位一组展成对应的十进制数。 1. ()余3码 = (263)10 2. ()8421码= (596)10 分别求下列函数的对偶式Y ‘ 和反函数Y 1. D C B A Y ++=)( D C B A Y ?+?=)(' D C B A Y ?+?=)( 2. D A C B A Y ++= )()('D A C B A Y +??+= D C B A Y ?+?=)( 求下列函数的与非-与非式。 1. B A AB Y += B A AB Y ?= 将下列函数展成最小项之和的标准形式 1. Y=C B B A ?+? C B A C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()( 2. Q R S Y +=

脉冲与数字电路模拟试题第1套及答案

数字电子技术(第2版)第一套A卷 一、单选题(每题1分) 1.回差是( )电路的特性参数。 A时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2.石英晶体多谐振荡器的主要优点是( )。 A电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3.对TTL与非门多余输入端的处理,不能将它们( )。 A与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL与非门的关门电平是0.8V,开门电平是2V,当其输入低电平为0.4V,输入高电平 为3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5.逻辑函数ACDEF + =的最简与或式为() + Y+ A C AB A.C = B. B A Y+ Y= D. AB Y= = C. AD Y+ A 6.在什么情况下,“与非”运算的结果是逻辑0。() A.全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7.组合逻辑电路()。 A一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A与B均可 8.已知逻辑函数的真值表如下,其表达式是() 1

2 A .C Y = B .AB C Y = C .C AB Y += D .C AB Y += 图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电 平为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD A B Y += B . 1=Y C . 0=Y D . D C B A Y +++=

第六章脉冲波形的产生与整形电路-数字电子技术习题集

第六章 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭 4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()

7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。()8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是 产品。 2.施密特触发器具有现象,又称特性;单 稳触发器最重 要的参数为。 3.常见的脉冲产生电路有,常见的脉冲整形电路有、。 4.为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入态。 四、练习题 1. 如图所示的单稳态触发器电路中, G 1 和 G 2 为 CMOS 或非门,电源电压V DD =15V 。已知R d = 100k Ω,R = 51 k Ω,C d = 1000pF ,C = 0.01 μ F 。试计算输出脉冲的宽度和幅度。

相关文档
最新文档