第5章 时序逻辑电路习题解答

第5章  时序逻辑电路习题解答
第5章  时序逻辑电路习题解答

5-1 分析图5.77所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。

CLK

Z

图5.77 题 5-1图

解:从给定的电路图写出驱动方程为:

0012

10

21()n n n n

n D Q Q Q D Q D Q ?=??=??

=??

e 将驱动方程代入D 触发器的特征方程D Q

n =+1

,得到状态方程为:

10012110

12

1()n n n n n n

n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为

2

n

Z Q =

根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。

题解5-1(a )状态转换图

1

Q 2/Q Z

Q

题解5-1(b )时序图

综上分析可知,该电路是一个四进制计数器。

5-2 分析图5.78所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。

Y

A

图5.78 题 5-2图

解:首先从电路图写出驱动方程为:

()

0110101()n n n n n

D AQ D A Q Q A Q Q ?=?

?==+??

将上式代入触发器的特征方程后得到状态方程

()

1011

10101()n n n n n n n

Q AQ Q A Q Q A Q Q ++?=?

?==+??

电路的输出方程为:

01n n

Y AQ Q =

根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y

A

题解5-2 状态转换图

综上分析可知该电路的逻辑功能为:

当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位;

当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。

5-3 已知同步时序电路如图5.79(a)所示,其输入波形如图5.79 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。

X

(a) 电路图

1234CLK

5678

X

(b)输入波形 图5.79 题 5-3图

解:电路的驱动方程、状态方程和输出方程分别为:

0010110001101101

1, ,n n n n n n n n n n

J X K X J XQ K X

Q X Q XQ X

Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=??

?=+=+?= 根据状态方程和输出方程,可分别做出11

10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此

做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

表5.1 状态转换表

Y

X

5-3(a) 状态转换图

X

1Q Q Y

题解5-3(b )时序图

综上分析可知:当输入X 为序列110时,输出Y =1,因此,该电路是110序列检测器。

5-4 试画出用4片74LS194A 组成16位双向移位寄存器的逻辑图。74LS194A 的功能表见

表5.9。

解:见图题解5-4。

题解5-4 电路图

5-5 在图5.80所示的电路中,若两个移位寄存器中的原始数据分别为A 3A 2A 1A 0=1100,B 3B 2B 1B 0=0001,CI 的初值为0,试问经过4个CLK 信号作用以后两个寄存器中的数据如何?这个电路完成什么功能?

CLK

图5.80 题 5-5图

解:经过4个CLK 信号后,两个寄存器里的数据分别为:

1101000111000123=

+=A A A A ,00000123=B B B B

这是一个4位串行加法器电路。

5-6 分析图5.81的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74161的功能表如表5.13所示。

计计计计1

图5.81 题 5-6图

解:图 5.81所示的电路,是用异步置零法构成的十进制计数器,当计数进入

1010

0123=Q Q Q Q 状态,与非门译码器输出低电平置零信号,立刻将74161置成

00000123=Q Q Q Q 状态,由于10100123=Q Q Q Q 是一个过渡状态,不存在稳定状态的循

环中,所以电路按1001~0000这十个状态顺序循环,从而构成十进制计数器。

5-7 分析图5.82的计数器电路,在M =0和M =1时各为几进制?计数器74160的功能表与表5.13相同。

计计

Y

图5.82 题 5-7图

解:图5.82所示的电路,是用同步置数法将74160接成的可变模计数器。

在M =1时,当电路进入状态10010123=Q Q Q Q 以后,0=LD ,下一个CLK 到达时,将01000123=D D D D 置入电路中,使01000123=Q Q Q Q ,然后再从0100继续做加法计数。因此,电路按1001~0100这六个状态顺序循环,从而构成六进制计数器。

同理。在M =0,电路将按1001~0010这八个状态顺序循环,故形成八进制计数器。

5-8 图5.83电路时可变模计数器。试分析当控制变量A 为0和1时电路各为几进制计数器。74161的功能表见表5.13。

计计计计

1

图5.83 题 5-8图

解:这是用同步置数法接成的可控进制计数器。在A =1时,计数器计为

10110123=Q Q Q Q 后,给出0=LD 信号,下一个CLK 到来时计数器被置成00000123=Q Q Q Q ,故是一个十二进制计数器。在A =0时,计数器计为1001

0123=Q Q Q Q 后,给出0=LD 信号,下一个CLK 到来时,计数器被置成00000123=Q Q Q Q ,故构成十进制计数器。

5-9 十六进制计数器74161的功能表如表5.13所示,试以74161设计一个可控进制计数器,当输入控制变量M =0时工作在五进制,M =1时工作在十五进制。请标出计数器输入端和

进位输出端。

解:此题可有多种接法。图题解5-9是利用同步置数法接成的可控计数器,因为每次置数时置入的是00000123=D D D D ,所以M =1时,应从11100123=Q Q Q Q 状态译出0=LD 信号;而在M =0时,应从01000123=Q Q Q Q 状态译出0=LD 信号。

计计计计

1

题解 5-9图

5-10 试分析图5.84计数器电路的分频比(即Y 与CLK 的频率之比)。74161的功能表见表5.13。

计计计计

图5.84 题 5-10图

解:第(1)片74161是采用置数法接成的七进制计数器。每当计数器状态进入

11110123=Q Q Q Q (十五)时译出0=LD 信号,置入10010123=D D D D (九),所以是

15-9+1=7进制计数器。

第(2)片74161是采用置数法接成的九进制计数器,当计数器状态进入

11110123=Q Q Q Q (十五)时译出0=LD 信号,置入01110123=D D D D (七),所以是

15-7+1=9进制计数器。

两片74161之间采用了串行进位连接方式,构成了7?9=63进制计数器,故Y 与CLK 的频率之比为1:63。

5-11 图5.85 电路是由两片同步十进制计数器74160组成的计数器,试分析它是多少进制的计数器?

计计计计

CLK

计计Y

图5.85 题 5-11图

解:第(1)片74160工作在十进制计数状态,第(2)片74160采用置数法接成三进制

计数器,两片之间是十进制。

若起始状态第(1)片和第(2)片74160的0123Q Q Q Q 分别为0001和0111,则输入19个CLK 信号以后,第(1)片变为0000状态,第(2)片接收了两个进位信号以后变为1001状态,并使第(2)片的0=LD 。第20个CLK 信号到达后,第(1)片计成0001,第(2)片被置成0111,于是返回了起始状态,所以这是二十进制计数器。

5-12 图5.86电路是由两片同步十六进制计数器74161组成的计数器,试分析它是多少进制的计数器?

Y

图5.86 题 5-12图

解:这是采用整体置数法接成的计数器。

在出现0=LD 信号以前,两片74161均按照十六进制计数,即第(1)片到第(2)片为十六进制,当第(1)片计为0010(二),第(2)片计为(五)时产生0=LD 信号,待下一个CLK 信号到达后两片74161同时被置零,总的进制为5162183?++=,故为八十

三进制计数器。

5-13 画出两片同步十进制计数器74160接成同步三十一进制计数器的接线图。允许附加必要的门电路。

解:由于31是素数,不能分解,所以必须采用整体置数法或整体置零法。这里采用了

整体置数法,具体是,先将两片按同步连接方式接成1001010=?进制计数器,然后用电路计为30的状态译码出0=LD 的信号,如题解5-13所示。这样在电路从全零状态开始计数,计入31个CLK 后将返回全零状态,形成三十一进制的计数器。

计计计计

CLK 计计

题解 5-13图

5-14 用同步十进制计数器74160设计一个三百六十五进制计数器。要求各位间为十进制

关系。允许附加必要的门电路。

解:因为要求各位之间是十进制关系,所以需令每一位的74160接成十进制计数状态,并以低位的进位输出作高位的EP 和ET 的控制信号(或进位脉冲),接成三位十进制计数器,然后用整体置数(或置零)法再改接成三百六十五进制计数器。

题解5-14是采用同步置数法的接线图,当计数器计成364状态时译出0=LD 信号,下一个CLK 脉冲到来时将计数器置为全零状态,从而得到三百六十五进制计数器。

题解 5-14图

5-15 设计一个数字钟电路,要求能用七段数码管显示从0时0分0秒到23时59分59秒之间的任意时刻。

解:电路接法见题解5-15所示,计数器由六片74160组成,第(1)、(2)两片接成六十进制的“秒”计数器,第(1)片为十进制,第(2)片为六进制,第(3)、(4)片接成六十进制的“分”计数器,接法同“秒”计数器,第(5)、(6)片用整体复位法接成二十四进制的“时”计数器。显示译码器由六片7448组成,每片7448用于驱动一只共阴极的数码管BS201A 。

题解5-15 电路图

5-16 试利用同步十六进制计数器74161和4线-16线译码器74LS154设计节拍脉冲发生器,要求从12个输出端顺序、循环地输出等宽的负脉冲。

解:此题的设计方法不是唯一的,比如可以采用同步置数法得到74161接成十二进制计数器,并把它的0123,,,Q Q Q Q 接至74LS154的0123,,,A A A A 输入端,在连续输入CLK 脉冲后,在74LS154的110~Y Y 输出端就得到了12个等宽的顺序脉冲110~P P ,电路接法如题解 5-16所示。

P 0P 1P 2P 3P 4P 5P 6P 7P 8P 91011

计计计计

}

题解 5-16图

5-17 设计一个序列信号发生器电路,使之在一系列CLK 信号作用下能周期性地输出“0010110111”的序列信号。

解:此题的一种设计方案使用十进制计数器和8选1数据选择器组成的,若十进制计数器选用74160,则可列出在CLK 连续作用下计数器状态0123Q Q Q Q 与要产生的输出Z 之间关系的真值表,如下表所示。

若采用8选1数据选择器74HC151,则它的输出逻辑式可写为:

02101210221032104210521062107210()()()()()()()()

Y D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A =+++++++

再由真值表写出Z 的逻辑式,并化成与上式对应的形式后,得到:

321032103210210321032102103210()()()0()()()0()()

Z Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =+++?+++?+

令22Q A =,11Q A =,00Q A =,310Q D D ==,37542Q D D D D ====,

063==D D ,则数据选择器的输出Y 即是所求的Z ,电路接法见题解 5-17图所示。

0 0 0 0 0 0 1 0 0 0 1 0 2 0 0 1 0 1 3 0 0 1 1 0 4 0 1 0 0 1 5 0 1 0 1 1 6 0 1 1 0 0 7 0 1 1 1 1 8 1 0 0 0 1 9

1 0 0 1 1

Z

1Q 2Q 3Q 0

Q CLK 计计计

Z

题解 5-17图

5-18 试用JK 触发器和门电路设计一个同步七进制计数器。

解:因为七进制计数器必须有七个不同的电路状态,所以需要用三个触发器组成,若对电路的状态编码没有提出要求,则取哪七个状态以及状态如何更替可自行确定,比如采用下

图题解5-18 (a)状态转换图所示的状态编码和循环顺序,即可画出电路次态)

(111213+++n n n Q Q Q 的卡诺图如图题解5-18(b )所示。

题解5-18(a )状态转换图

111

321n n n Q Q Q +++的卡诺图 13n Q +的卡诺图

12n Q +的卡诺图 11n Q +的卡诺图

题解5-18(b )卡诺图

从图(b )的卡诺图写出电路状态方程为:

133********

1

221321123121121312311

()()()()()(1)n n n Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q +++?=+=+??=+=+??=+=+?? 将上式与JK 触发器特性方程的标准形式Q K Q J Q

n +=+1

对照,即可得到驱动方程为:

32132212311321 ; ; ()() ; 1

J Q Q K Q J Q K Q Q J Q Q K ==???

==??==?? 根据驱动方程画出的电路图如题解5-18(c )图所示。将无效状态111代入状态方程,得

次态000,说明该电路能自启动。

题解5-18(c) 逻辑电路图

5-19 用D 触发器和门电路设计一个十一进制计数器,并检查设计的电路能否自启动。 解:因为电路必须有11个不同的状态,所以需用四个触发器组成这个电路,如果按题解5-19表取电路的11个状态和循环顺序,则可画出表示电路的次态的卡诺图如图题解5-19 (a)所示。

题解5-19表 电路的状态转换表

0 0 0 0 0 0

01

0 0 0 1

1

2 0 0 1 0

0 2

3 0 0 1 1

0 3

4 0 1 0 0 0 4

5 0 1 0 1 0 5

6 0 1 1 0 0 6

7 0 1 1 1 0 7

8 1 0 0 0 0 8

9 1 0 0 1 0 910 1 0 1 0

1 0

11 1 0 1 0 0

10

C 1Q 2Q 3Q 0

Q 计计计计计计

计 计 计 计

计计计计计计计

计计计

00 01 11 1000 0001/0 0010/0 0100/0

0011/001 0101/0 0110/0 1000/0

0111/0

11 xxxx/x xxxx/x xxxx/x xxxx/x 10 1001/0 1010/0 xxxx/x 0000/11Q 0Q 2

Q 3Q

题解5-19 1111

3210/n n n n Q Q Q Q C ++++的卡诺图

由卡诺图得到四个触发器的状态方程为:

13312101

22120210

1

1103101

03010

n n n n Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q ++++?=+?=++??=+??=+? 输出方程为:

13Q Q C =

由于D 触发器的特性方程是D Q

n =+1

,于是得到图题解5-19 (b) 所示的电路图。由状

态方程得输出方程画出电路的状态转换图如图题解5-19 (c)所示,可见电路能自启动。

题解5-19(b) 逻辑电路图

题解5-19(c) 状态转换图

5-20 设计一个串行数据检测电路。当连续出现四个和四个以上的1时,检测输出为1,其余情况输出为0。

解:设未输入1以前电路的初始状态为0S ,输入一个1以后电路的状态为1S ,连续输入两个1以后电路的状态为2S ,连续输入三个1以后电路的状态为3S ,连续输入四个和四个以上的1

以后电路的状态为4S ,则可根据题意画出图题解5-20 (a)所示的状态转换图。

(a) (b)

题解5-20 状态转换图

由图题解5-20 (a)可见,4S 和3S 是等价状态可以合并,简化后的状态转换图如图题解5-20 (b)所示。

因为电路工作过程有四个状态,所以需要用两个触发器的四种状态组合00、01、10、11分别表示状态0S 、1S 、2S 、3S ,并以A 表示输入,Y 表示输出,则根据图题解5-20 (b)可列出如题解5-20表所示的电路的状态转换表。

题解5-20表 状态转换表

由表可画出1

011,++n n Q Q 和Y 的卡诺图,如图题解5-20 (c)所示。

00 01 11 100 0 0 0 01 1 0 1 1

1Q 0

Q A

00 01 11

10

0 0 0 0 01 0 1 1 1

1Q 0

Q A

00 01 11 10

1Q 0

Q A

0 0 0 0 01 0 0 1 0

11n Q + 01n Q + Y

题解5-20 (c) 卡诺图的分解

从卡诺图得到电路的状态方程和输出方程为:

1110

1

010

n n Q AQ AQ Q AQ AQ ++?=+??=+?? 0

1Q AQ Y =

若选用D 触发器组成该电路,由于其特性方程D Q n =+1

,于是得到如图题解5-20(d)

所示的电路图。

CLK

A

Y

题解5-20(d) 逻辑电路图

5-21 设计一个控制步进电动机三相六状态工作的逻辑电路。如果用1表示电机绕组导通,0表示电机绕组截止,则3个绕组ABC 的状态转换图应如图5.87所示。M 为输入控制变量,当1M =时为正转,0M =时为反转。

1/

图5.87 题 5-21图

解:取321,,Q Q Q 三个触发器的状态分别表示A ,B ,C 的状态,由图5.87可见,输出状态与A ,B ,C 的状态相同,故可直接得到1Q y a =,2Q y b =,3Q y c =。根据已知的状态转换图画出1

1+n Q 、12+n Q 、1

3

+n Q 作为321,,Q Q Q 和M 的逻辑函数的卡诺图如图题解

5-21(a)所示。

00 01 11 10

00 xxx 011 010 110

01 101 001 xxx 100

11 110 100 xxx 010

10 xxx 101 001 011

2Q 3Q 1Q M

题解5-21(a) 卡诺图 由卡诺图写出状态方程:

11231

2311312

n n n Q M Q M Q Q M Q M Q Q M Q M Q +++?=+??=+??=+?? 若采用D 触发器,则根据D Q n =+1

,即得到每个触发器的驱动方程,据此可画出如图

题解5-21 (b)所示的电路图。

y c (C )

题解5-21(b) 逻辑电路图

5-22 用JK 触发器和门电路设计一个4位格雷码计数器,它的状态转换表见表5.20。

表5.20 题5-22状态转换表

00 0 0 0 0 10 0 0 1 0 20 0 1 1 0 30 0 1 0 0 40 1 1 0 0 50 1 1 1 0 60 1 0 1 0 70 1 0 0 0 8 1 1 0 0 0 9 1 1 0 1 0 10 1 1 1 1 0 11 1 1 1 0 0 12 1 0 1 0 0 13 1 0 1 1 0 14 1 0 0 1 0 15 1 0 0 0 1 16

0 0 0 0 0

C

1Q 2Q 3Q 0

Q 计计计计计计

计 计 计 计

计计计计

解:按表5.20中给出的计数顺序,得到图题解5-22(a)所示的1

01112!3++++n n n n Q Q Q Q 的卡诺

图。

第十三章 几种常用的时序逻辑电路

第十三章几种常用的时序逻辑电路 一、填空题 1.与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.触发器是数字电路中______(a.有记忆 b.非记忆)的基本逻辑单元。 3.在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4. JK触发器是________(a.CP为1有效b.CP边沿有效)。 +=+是_______触发器的特性方程。 5.1n n n Q JQ KQ 6.1n n +=+是________触发器的特性方程,其约束条件为Q S RQ ___________。 +=+是_____触发器的特征方程。 7.1n n n Q TQ TQ 8.在T触发器中,若使T=____,则每输入一个CP,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T触发器,它的特征方程是________________。 9.我们可以用JK触发器转换成其他逻辑功能触发器,令 __________________,即转换成T触发器;令_______________, 即转换为'T触发器;令________________,即转换成D触发器。10.我们可以用D触发器转换成其他逻辑功能触发器,令 __________________,即转换成T触发器;令_______________, 即转换为'T触发器。 11.寄存器存放数据的方式有____________和___________;取出数据的方式有____________和___________。 12.寄存器分为_________寄存器和__________寄存器。 13.双拍工作方式的数码寄存器工作时需_____________。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

时序逻辑电路试题

第五章时序电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 》 5.N个触发器可以构成能寄存位二进制数码的寄存器。 +1 6.五个D触发器构成环形计数器,其计数长度为。 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 [ 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用级触发器。 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8 位,完成该操作需要 时间。 μS μS μS [ 14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 =A B ,K =B A + =A B ,K =B A =B A +,K =A B =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用 个触发器。 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) ~ 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( ) 6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( ) 10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N 级 触发器来实现其电路,则不需检查电路的自启动性。( ) 12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) < 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使 用同步二进制计数器。( ) 14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是 短暂的过渡状态,不能稳定而是立刻变为0状态。( )

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

第五章时序逻辑电路

第五章时序逻辑电路

第五章 触发器 本章教学目的、要求: 1. 掌握各种触发器的逻辑功能和工作原理。 2. 熟悉各种触发器的电路结构及动作特点。 3. 了解不同功能触发器之间的相互转换。 重点:触发器的逻辑功能和动作特点。 难点:触发器的不同电路结构及各自的动作特点。 第一节 概 述 触发器:(Flip-Flop)能存储一位二进制信号的基本单元。用FF 表示。 特点: 1.具有两个能自行保持的稳定状态,用来表示逻辑状态的0和1,或二进制数的0和1。 2.根据不同的输入信号可以置成 1 或 0 状态。 根据电路结构不同分为:基本RS 触发器、同步RS 触发器、主从触发器、边沿触发器。 按逻辑功能分:RSFF 、DFF 、JKFF 、TFF 等。 3.根据存储数据的原理不同分为:静态触发器和动态触发器。 第二节 SR 锁存器 一、电路结构与工作原理 1.电路结构和工作原理: 触发器的1状态:0,1='=Q Q 触发器的0状态:1,0='=Q Q ① 当R'D =0, S' D =1时,无论触发器原来处于什么状态,其次态一定为0,即Q =0,Q' =1,称触发器处于置0(复位)状态。 ② 当R'D =1,S'D =0时,无论触发器原来处于什么状态,其次态一定为1,即Q =1,Q'=0, S R 图形符号 Q Q ' D 'S D 'R 置位端 或置1 复位端 或 Q Q ' D 'S D 'R 电路结构

称触发器处于置1(置位)状态。 ③ 当R'D =1,S'D =1时,触发器状态不变,即Q *=Q ,称触发器处于保持(记忆)状态。 ④ 当R'D =0,S'D =0时,两个与非门输出均为1(高电平),此时破坏了触发器的互补输出关系,而且当R'D 、S'D 同时从0变化为1时,由于门的延迟时间不一致,使触发器的次态不确定,即Q *=?,这种情况是不允许的。因此规定输入信号R'D 、S'D 不能同时为0,它们应遵循R'D + S'D =1的约束条件。 从以上分析可见,基本RS 触发器具有置0、置1和保持的逻辑功能,通常称S'D 为置1端或置位(SET)端,R'D 称为置0或复位(RESET)端,因此该触发器又称为置位—复位(SetReset)触发器或R D S D 触发器,其逻辑符号如上图所示。因为它是以R'D 和S'D 为低电平时被清0和置1的,所以称R'D 、S'D 低电平有效,且在图中输入端加有小圆圈。 2.逻辑功能的描述 ①特性表 用与非门构成的基本RSFF 也可用右表描述。 只需将表中的R'D 和S'D 看作是该触发器输入信号 ②特性方程: ③状态转换图:(简称状态图) *='+=D D D D R S Q R S Q R = 0 R = ×S =0S =× R =0 R = 1S = 0 置1 置0 不允许 保持

第十三章 时序逻辑电路

第十三章时序逻辑电路 第十四章脉冲的产生和整形电路 盐城技师学院 一、填空题(每格1分,共20分) 1、时序电路是由和组成。 2、时序逻辑电路在逻辑功能方面的特点是电路的输出状态不仅与 有关,而且与有关。 3、一个十进制为37,则对应的二进制数为,对应的8421BCD码 为。 4、一个四位8421BCD码十进制加法计数器,若初始状态为0000,输入第 七个脉冲后,计数器的状态为,输入第十个脉冲后,计数器的状态为。 5、一个七段数码管显示器,输入为高电平时数码管发光,则当 abcdefg=1011011时,显示的十进制数为。 6、施密特触发器是一个有的反相器,是一个稳态触发 器,具有特性。 7、多谐荡器没有,电路不停地在两个之间转换,而这个 转换的快慢主要取决于的速度。 8、单稳态触发器在脉冲电路中广泛应用于电路的、等方面。 9、施密特触发器的主要用途有、和。 二、判断题(每题2分。共16分) ()1、移位寄存器可以并行输出,也可以串输出。

()2、多谐振荡器输出的们号是正弦波。 ()3、有8个触发器的二进制异步计数器能表达到56种状态。 ()4、编码是译码的逆过程。 ()5、数码寄存器不但具有寄存器数码的功能,而且还有数码移位的功能。 ( ) 6、单稳态触发器电路的最大工作频率由外加觖发脉冲的频率决定。()7、由三个触发器组成的二进制加法计数器,计数器最大的模是10。()8、构成计数器电路的器件必须具有记忆功能。 三、选择题(每题4分,共32分) 1、一个十进制计数器,至少需要几个触发器构成?() A、2个 B、3个 C、4个 D、5个 2、一个八进制计数器,最多能记忆()个脉冲,第()个脉冲到来后, 向高位进一。 A、7 B、8 C、9 D、10 3、一个512位移位寄存器用作廷迟线,如果时钟频率是4MHZ,则数据通 过该廷迟线的时间为() A、128us B、127.75us C、256us D、125us 4、下列电路中不属于时序电路的是() A、计数器 B、数码寄存器 C、译码器 D、触发器 5、施密特触发器常用于对脉冲波形的() A、延时和定时 B、计数与寄存 C、整形与变换

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

时序逻辑电路练习题及答案

《时序逻辑电路》练习题及答案 [6.1] 分析图P6-1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P6-1 [解] 驱动方程:311Q K J ==, 状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; 122Q K J ==, n n n n n n n Q Q Q Q Q Q Q 12212112 ⊕=+=+; 33213Q K Q Q J ==,, n n n n Q Q Q Q 12313 =+; 输出方程:3Q Y = 由状态方程可得状态转换表,如表6-1所示;由状态转换表可得状态转换图,如图A6-1所示。电路可以自启动。 表6-1 n n n Q Q Q 123 Y Q Q Q n n n 111213+++ n n n Q Q Q 123 Y Q Q Q n n n 1112 13+++ 0 00 00 1 010 01 1 0010 0100 0110 1000 100 10 1 110 11 1 000 1 011 1 010 1 001 1 图A6-1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [6.2] 试分析图P6-2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图P6-2

[解] 驱动方程:21 Q A D =, 2 12Q Q A D = 状态方程:n n Q A Q 21 1 =+, )(122112n n n n n Q Q A Q Q A Q +==+ 输出方程:21Q Q A Y = 表6-2 由状态方程可得状态转换表,如表6-2所示;由状态转换表 可得状态转换图,如图A6-2所示。 电路的逻辑功能是:判断A 是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A6-2 [6.3] 试分析图P6-3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。 图P6-3 [解] 321Q Q J =,11=K ; 12Q J =,312Q Q K =; 23213Q K Q Q J ==, =+11n Q 32Q Q ·1Q ; 211 2 Q Q Q n =++231Q Q Q ; 3232113Q Q Q Q Q Q n +=+ Y = 32Q Q 电路的状态转换图如图A6-3所示,电路能够自启动。 图A6-3 [6.4] 分析图P6-4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A 为输入变量。 n n Q AQ 12 Y Q Q n n 1 112++ 000 00 1 010 01 1 100 11 1 110 10 1 010 100 110 00 1 11 1 100 010 000

第八章时序逻辑电路学习资料

第八章时序逻辑电路

第八章时序逻辑电路 第一节寄存器 一、单项选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。() A.N-1 B.N C.N+1 D.2N 2.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 3.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 4.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是() A.1011-0110-1100-1000-0000 B.1011-0101-0010-0001-0000 C.1011-1100-1101-1110-1111 D.1011-1010-1001-1000-0111 5.由三级触发器构成环形计数器的计数摸值为( ) A.8 B.6 C.3 D.16 6.如图8-7所示电路的功能为()A.并行输入寄存器 B.移位寄存器 C.计数器 D.序列信号发生器 7.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。() A.2 B.4 C.8 D.16 8.现欲将一个数据串延时4个CP的时间,则最简单的办法采用() A.4位并行寄存器 B.4位移位寄存器 C.4进制计数器 D.4位加法器 二、判断题 1.时序电路中不含有记忆功能的器件。( ) 2.移位寄存器74LS194可串行输入并行输出,但不能串行输入串行输出。() 仅供学习与交流,如有侵权请联系网站删除谢谢2

3.时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( ) 4.时序电路一定不要组合电路。() 三、多项选择题 1.寄存器按照功能不同可分为() A.数据寄存器 B.移位寄存器 C.暂存器 D.计数器 2.数码寄存器的特点是() A.存储时间短 B.速度快 C.可做高速缓冲器 D.一旦停电后存储数码全部消失 3.移位寄存器按移位方式可分为() A.左移移位寄存器 B.右移移位寄存器 C.双向移位寄存器 D.集成移位寄存器 第二节计数器 一、填空题1.触发器有个稳定状态,它可以记录位二进制码,存储8位二进制信息需要个触发器。 2.按进位体制的不同,计数器可分为计数器和计数器等;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。 3.要构成五进制计数器,至少需要个触发器。 4.设集成十进制(默认为8421码)加法计数器的初态为Q3Q2Q1Q0=1001,则经过5个CP 脉冲以后计数器的状态为 . 5.在各种寄存器中,存放N位二进制数码需要个触发器。 二、单项选择题 1.按各触发器的CP所决定的状态转换区分,计数器可分为计数器。() A.加法、减法和可逆 B.同步和异步 C.二、十和N进制 D.以上均不正确 2.将一个D触发器处于技术状态时,下列做法正确的是() A.D端接固定高电平 B.D端悬空 C.D端与Q端相联 D.D与Q非端相联 仅供学习与交流,如有侵权请联系网站删除谢谢3

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

时序逻辑电路习题

触发器 一、单项选择题: (1)对于D触发器,欲使Q n+1=Q n,应使输入D=。 A、0 B、1 C、Q D、 (2)对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T=。 A、0 B、1 C、Q (4)请选择正确的RS触发器特性方程式。 A、 B、 C、 (约束条件为) D、 (5)请选择正确的T触发器特性方程式。 A、 B、 C、 D、 (6)试写出图所示各触发器输出的次态函数(Q )。 n+1 A、 B、 C、 D、 (7)下列触发器中没有约束条件的是。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 二、多项选择题: (1)描述触发器的逻辑功能的方法有。 A、状态转换真值表 B、特性方程 C、状态转换图 D、状态转换卡诺图 (2)欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。

A、J=K=0 B、J=Q,K= C、J=,K=Q D、J=Q,K=0 (3)欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端。 A、J=K=1 B、J=0,K=0 C、J=1,K=0 D、J=0,K=1 (4)欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端。 A、J=K=1 B、J=1,K=0 C、J=K=0 D、J=0,K=1 三、判断题: (1)D触发器的特性方程为Q n+1=D,与Q 无关,所以它没有记忆功能。() n (2)同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。 () (3)主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。() (8)同步RS触发器在时钟CP=0时,触发器的状态不改变( )。 (9)D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能( )。 (10)对于边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次( )。 四、填空题: (1)触发器有()个稳态,存储8位二进制信息要 ()个触发器。 (2)在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的(),触发方式为()式或()式的触发器不会出现这种现象。 (3)按逻辑功能分,触发器有()、()、()、()、()五种。 (4)触发器有()个稳定状态,当=0,=1时,称为()状态。 时序逻辑电路 一、单项选择题: (2)某512位串行输入串行输出右移寄存器,已知时钟频率为4MHZ,数据从输入端到达输出端被延迟多长时间? A、128μs B、256μs C、512μs D、1024μs (3)4个触发器构成的8421BCD码计数器共有()个无效状态。 A、6 B、8 C、10 D、4 (4)四位二进制计数器模为 A、小于16 B、等于16 C、大于16 D、等于10 (5)利用异步预置数端构成N进制加法计数器,若预置数据为0,则应将()所对应的状态译码后驱动控制端。 A、N B、N-1 C、N+1 (7)采用集成中规模加法计数器74LS161构成的电路如图所示,选择正确答案。 A、十进制加法计数器 B、十二进制加法计数器

第5章 时序逻辑电路思考题与习题题解

思考题与习题题解 5-1填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√)(4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 A.10μS B.80μS C.100μS D.800ms (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 A.6 B.7 C.8 D.10 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。 A.10 B.15 C.32 D.32768 (7)一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10

第13章触发器及时序逻辑电路习题汇总

第十三章触发器和时序逻辑电路 13.1重点内容提要 时序逻辑电路由组合逻辑电路和具有记忆作用的触发器构成。时序逻辑电路的特点是:其输出不仅仅取决于电路的当前输入,而且还与电路的原来状态有关。 1.双稳态触发器 双稳态触发器的特点: 1).有两个互补的输出端Q 和Q。 2).有两个稳定状态。“1”状态和“0” 状态。通常将Q = 1和Q= 0 称为“1”状态,而把Q = 0和Q = 1称为“0” 状态。 3).当输入信号不发生变化时,触发器状态稳定不变。 4).在一定输入信号作用下,触发器可以从一个稳定状态转移到另一个稳定状态。 按其逻辑功能,触发器可分为:RS触发器,JK触发器、D触发器、T触发器和T’触发器。 各时钟控制触发器的逻辑符号和逻辑功能见表13.1.1: 把一种已有的触发器通过加入转换逻辑电路,可以转换成为另一种功能的触发器。 2.同步时序逻辑电路的分析

同步时序逻辑电路的分析步骤如下: 1.由给定的逻辑电路图写出下列各逻辑方程式: (1)各触发器的特性方程。 (2)各触发器的驱动方程。 (3)时序电路的输出方程。 2.将驱动方程代入相应触发器的特性方程,求得电路的状态方程(或次态方程)。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态转换图或时序图。 4.根据电路的状态转换图说明该时序逻辑电路的逻辑功能。 3.典型的时序逻辑电路 在数字系统中,最典型的时序逻辑电路是寄存器和计数器。 1)寄存器 寄存器是用来存储数据或运算结果的一种常用逻辑部件。寄存器的主要组成部分是在双稳态触发器基础上加上一些逻辑门构成。按功能分,寄存器分为数码寄存器和移位寄存器。移位寄存器是既能寄存数码,又能在时钟脉冲的作用下使数码向高位或向低位移动的逻辑功能部件。通常有左移寄存器、右移寄存器、双向移位寄存器和循环移位寄存器。移位寄存器可实现数据的串行、并行转换,数据的运算和数据的处理等。 2)计数器 计数器是一种对输入脉冲数目进行计数的时序逻辑电路,被计数的脉冲信号称为计数脉冲。计数器除计数外,还可以实现定时、分频等,在计算机及数字系统中应用极广。 计数器种类很多,通常有如下不同的分类方法。 (1)按逻辑功能可分为加法计数器、减法计数器和可逆计数器。 (2)按计数进制可分为二进制计数器、十进制计数器和任意进制计数器等。 (3)按工作方式可分为同步计数器和异步计数器。 集成电路74161型四位同步二进制计数器 图13.1.1为74161型四位同步二进制可预置计数器的外引线排列图及其逻辑符号,其中D R 是异步 (a ) 外引线排列图 (b ) 逻辑符号 图13.1.1 74161型四位同步二进制计数器 清零端,LD 是预置数控制端,0123A A A A 是预置数据输入端,EP 和ET 是计数控制端,Q 3Q 2Q 1Q 0是计数输出端,RCO 是进位输出端。74161型四位同步二进制计数器具有以下功能: ① 异步清零。D R =0时,计数器输出被直接清零,与其他输入端的状态无关。 ② 同步并行预置数。在D R =1条件下,当LD =0且有时钟脉冲CP 的上升沿作用时,3A 、2A 、1A 、0A 输入端的数据3d 、2d 、1d 、0d 将分别被3Q 、2Q 、1Q 、0Q 所接收。 ③ 保持。在D R LD ==1条件下,当=?EP ET 0,不管有无CP 脉冲作用,计数器都将保持原有状态

相关文档
最新文档