出租车计价器调试报告

出租车计价器调试报告
出租车计价器调试报告

出租车计价器调试报告

本设计可分为单片机主控模块、键盘、显示器、温度检测、状态指示、时钟日历、语音收录播报、分频器电路、脉冲信号发生器等9部分。仔细分析系统的工作原理,分别按照模块在系统中的作用,对各个模块分别单独调试,最后形成该系统的用户程序,实现功能要求。

一、接通电源

调试要求:1. 首先仔细检查该系统板的电源和地是否有短路问题,在未接入电源轻快下,使用万用表检验电源和地检查是否短路,如果没有短路,再仔细核查电源极性后予以通电,观察电源指示灯D1是否点亮。如果电源指示的灯不亮应立即关闭电源,并用手触摸各个芯片,检查是否用某芯片发热。如果没有发热的器件,很可能是电源指示二极管极性安装错误,或者是该发光二极管的串联电阻阻值偏大。

2. 黑板上调试要求:(1) 焊接好电路板加电前,用万用表测量板上Vcc 和

GND之间的电阻,应大于1KΩ

(2) 加电后测量电路板上各电压,应大于4.2V

调试结果:1. 经万用表检验,电路板无短路问题。

2. 通电后,D1指示灯点亮。

3. 测量Vcc 与地之间的电阻,1.14KΩ>1KΩ

4. 测量Vcc与地之间的电压:4.28V >4.20V

二、测试状态指示

本系统中状态指示二极管共有3个,它们分别是D1、D2、D3。D1是指示电源的,可以在电源接通时直接看到,D2用于指示语音芯片的工作状态,留作语音模块调试时观察。D3是可以由单片机的引脚控制的。

编写测试D3的程序:

#include

#include

sbit a_c=P1^0;

extern serial_initial();

main(){

serial_initial();

a_c=0;

while(1);

}

测试结果:

1.

2.

三、脉冲信号发生器测试

测试要求:该模块由5G555芯片构成一个多谐振荡器,使用示波器观察该芯片的第3引脚的波形,并调节电位器W1,观察输出波形及频率变化。

测试结果:

调整W1前,f=147.1Hz

调整W1后,f=130.5Hz

四、分频电路测试

测试要求:该模块由一个4位二进制计数器74HC161和一个多路选择器74HC153构成。调试时可以利用由5G555芯片构成一个多谐振荡器的输出,或信号发生器作为计数器

74HC161的计数输入信号。值得注意的是控制多路选择器74HC153的S0、S1与单片机调试时所使用的引脚复用,要采取特殊措施才能正确试验检测。

测试结果:利用函数信号发生器生成一个方波,周期/频率如图:

其在输出端输出的波形为:

f=3.881kHz

1

f=1.235kHz

2

分频功能无误。

五、键盘测试

测试要求:本系统相对比较简单,仅有5个按键,其中4个为系统功能键,它们分别是S1、S2、S3、S4,另一个是系统复位按键S6。对于系统复位按键S6可以在上电之后,使用万用表予以检查,按下该按键,单片机的第9脚应该为高电平,释放后应该为点电平。

对于系统功能键,编写如下程序予以测试检查:

#include

#include

#include

#include

#define SEGMENT XBYTE[0x0c000]

#define BIT_LED XBYTE[0x0a000]

void display();

sbit k1=P1^0;

sbit k2=P1^1;

sbit k3=P1^2;

sbit k4=P1^3;

unsigned char a;

unsigned

char table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x67,0x40,0x00,0x63,0x39,};

void delay(unsigned int i);

main()

{

while(1)

{

if(k1==0)a=0x06;

if(k2==0)a=0x5b;

if(k3==0)a=0x4f;

if(k4==0)a=0x66;

display();

}

}

测试结果:对于复位键S6,按下前应为低电平,按下后应为高电平

按下前

按下后

对于S1—S4,按下前为高电平,按下后为低电平。

其测试结果均符合预期。

六、动态数码管测试

测试要求:本系统中的数码管的原理采用的是动态扫描方式,即某一时刻只用一个数码管在显示,利用人的视觉暂留特性,让数码管高速轮流显示,达到完整显示的目的。

编写如下程序进行测试:

#include

#include

#include

#include

#define SEGMENT XBYTE[0x0c000]

#define BIT_LED XBYTE[0x0a000]

void displayhello();

sbit k1=P1^0;

sbit k2=P1^1;

sbit k3=P1^2;

sbit k4=P1^3;

unsigned char a;

unsigned char table[]={0x06,0x06,0x3f,0x3e,0x79,0x6e,0x3f,0x3e,0x7f,0x67,0x40,0x00,0x63,0x39,};

void delayms(unsigned int i);

main()

{

while(1){

displayhello();

}

}

void displayhello()

{

unsigned char BIT=1;

unsigned int i;

BIT_LED=1;

for(i=0;i<=7;i++)

{

SEGMENT=table[i];

BIT_LED=BIT;

BIT=BIT<<1;

delayms(1);

}

}

void delayms(unsigned int i)

{

unsigned int n;

while(i--){

for(n=0;n<125;n++);

}

}

测试结果:显示“I love you”

由于是动态显示,所以按下复位键后,只有一个数码管点亮

七、温度传感器测试

测试要求:本系统使用的是一款单线温度传感器(DS18B20),可将温度穿换成12的数字量,以表示温度。

编写如下程序予以测试检查:

#include

#include

#include

#include

#define SEGMENT XBYTE[0x0c000] //段码寄存器地址

#define BIT_LED XBYTE[0x0a000] //位码寄存器地址

#define fosc 11.0592

unsigned char table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x67,0x40,0x00,0x63,0x39,};//分别显示0 1 2 3 4 5 6 7 8 9 - o C

unsigned char table1[]={0xbf,0x86,0xdb,0xcf,0xe6,0xed,0xfd,0x87,0xff,0xef};//分别显示0.

1. 2. 3. 4. 5. 6. 7. 8. 9.

unsigned char table2[]={0x76,0x79,0x38,0x38,0x3f};

sbit k1=P1^0;

sbit k2=P1^1;

sbit k3=P1^2;

unsigned char data display_buffer[13];

unsigned char bdata data_ds1302;

unsigned char disbuf[]={0,0,0,0};

sbit k4=P1^3;

sbit TMDAT=P3^4; //温度入口

void dmsec (unsigned int count);

void tmreset (void); //ds18b20 reset

void tmstart (void); // void tmrtemp(void);

void Disbuf(unsigned int temper);

void displaytemper();

void delay(unsigned int );

main()

{

display_buffer[0]=0x01;

display_buffer[1]=0x00;

display_buffer[2]=0x00;

display_buffer[3]=0x08;

display_buffer[4]=0x05;

display_buffer[5]=0x00;

display_buffer[6]=0x01;

display_buffer[7]=0x04;

display_buffer[8]=0x00;

display_buffer[9]=0x05;

display_buffer[10]=0x00;

display_buffer[11]=0x01;

display_buffer[12]=0x04;

while(1){

tmstart (); // ds1820

tmrtemp();

displaytemper();

}

}

void tmreset (void) { // Reset TX unsigned int i;

TMDAT = 0;

i = 103; while (i>0) i--;

TMDAT = 1;

i = 4; while (i>0) i--;

}

void tmpre (void) {

unsigned int i;

while (TMDAT);

while (~TMDAT);

i = 4; while (i>0) i--;

}

bit tmrbit (void) {

unsigned int i;

bit dat;

TMDAT = 0; i++;

TMDAT = 1; i++; i++;

dat = TMDAT;

i = 8; while (i>0) i--;

return (dat);

}

unsigned char tmrbyte (void) {

unsigned char i,j,dat;

dat = 0;

for (i=1;i<=8;i++) {

j = tmrbit ();

dat = (j << 7) | (dat >> 1);

}

return (dat);

}

void tmwbyte (unsigned char dat) {

unsigned int i;

unsigned char j;

bit testb;

for (j=1;j<=8;j++) {

testb = dat & 0x01;

dat = dat >> 1;

if (testb) {

TMDAT = 0;

i++; i++;

TMDAT = 1;

i = 8; while (i>0) i--;

}

else {

TMDAT = 0;

i = 8; while (i>0) i--;

TMDAT = 1;

i++; i++;

}

}

}

void tmstart (void) { // ds1820 tmreset ();

tmpre ();

displaytemper();//delay(100);

tmwbyte (0xcc);

tmwbyte (0x44);

}

void tmrtemp (void) {

unsigned char a,xiao,b,y1,y2,y3;

tmreset ();

tmpre ();

delay (1);

tmwbyte (0xcc);

tmwbyte (0xbe);

a = tmrbyte ();

b = tmrbyte ();

xiao=a&0x0f;//小数部分

y1=a>>4;

y2=b<<4;

y3=y1|y2;

if((b&0x0f8)==0x0f8)

{y3=~y3+1;

disbuf[0]=10;//显示符号

disbuf[1]=y3/10;

disbuf[2]=y3%10;

disbuf[3]=xiao*10*0.0625;}

else

disbuf[0]=11;//不显示

disbuf[1]=y3/10;

disbuf[2]=y3%10;

disbuf[3]=xiao*10*0.0625;

}

void displaytemper() //温度显示函数{

unsigned int i;

unsigned char e=0x01;//<<1;

for(i=1;i<6;i++)

{ switch(i)

{

case 1:{SEGMENT=table[disbuf[1]];BIT_LED=e;break;}

case 2:{SEGMENT=table1[disbuf[2]];BIT_LED=e;break;}

case 3:{SEGMENT=table[disbuf[3]];BIT_LED=e;break;}

case 4:{SEGMENT=table[12];BIT_LED=e;break;}

case 5:{SEGMENT=table[13];BIT_LED=e;break;}

}

e=e<<1;

delay(80);

}

BIT_LED=0;

}

void delay(unsigned int i) //delay函数{

while(i--);

}

测试结果:

经传感器及数码管延时,温度重新显示

八、时钟日历测试

测试要求:本系统使用了时钟日历专用芯片,该芯片是以串行方式实现控制和数据传输的。

编写如下程序进行测试:

#include

#include

#include

#include

#define SEGMENT XBYTE[0x0c000] //段码寄存器地址

#define BIT_LED XBYTE[0x0a000] //位码寄存器地址

#define fosc 11.0592

unsigned char table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x67,0x40,0x00,0x63,0x39,};

unsigned char table1[]={0xbf,0x86,0xdb,0xcf,0xe6,0xed,0xfd,0x87,0xff,0xef};

unsigned char table2[]={0x76,0x79,0x38,0x38,0x3f};

sbit k1=P1^0;

sbit k2=P1^1;

sbit k3=P1^2;

sbit k4=P1^3; //利用开关量实现切换

//频率变量及子函数预定义

void displayfreq();

void read_freq();

unsigned char tcount=0,timecount=0;

unsigned long freq=0.0;

bit freqflag=0;

unsigned char fr[6];

unsigned int i=0,x=0;

//日期变量及子函数预定义

sbit SCL_ds1302=P2^0;

sbit IO_ds1302=P2^1;

sbit RST_ds1302=P2^2;

unsigned char data display_buffer[13];

unsigned char bdata data_ds1302; //传输符unsigned char disbuf[]={0,0,0,0};

void open_write_bit();

void initial_ds1302();

unsigned char read_ds1302(char command);

void close_write_bit();

void read_time();

void set_time();

void delay(unsigned int i);

void delayms(unsigned int i);

void displaytime();

void displaydate();

main()

{

initial_ds1302(); //上电走时

read_time(); //读取当前时间,放到数组中display_buffer[0]=0x01;

display_buffer[1]=0x05;

display_buffer[2]=0x01;

display_buffer[3]=0x07;

display_buffer[4]=0x04;

display_buffer[5]=0x00;

display_buffer[6]=0x01;

display_buffer[7]=0x06;

display_buffer[8]=0x00;

display_buffer[9]=0x05;

display_buffer[10]=0x00;

display_buffer[11]=0x01;

display_buffer[12]=0x04;

set_time(); //设置时间

while(1)

{

if(k1==0)

{

while(1){

read_time();

displaytime();

if(k2==0)break;

}

}

read_time();

displaydate();

}

}

void close_write_bit()//close write

{

unsigned int i;

SCL_ds1302=0;

_nop_();

RST_ds1302=1;

_nop_();

_nop_();

data_ds1302=0x8e; //打开写保护for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=(data_ds1302&0x01);

_nop_();

SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

data_ds1302=0x80; //关闭写保护IO_ds1302=0;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=(data_ds1302&0x01);

_nop_();

SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

}

void open_write_bit()//open write

{

unsigned int i;

SCL_ds1302=0;

_nop_();

RST_ds1302=1;

_nop_();_nop_();

data_ds1302=0x8e;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

data_ds1302=0x00; //0x00,书上为0x80 IO_ds1302=0;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

}

void initial_ds1302() //初始化函数

{

unsigned int i;

SCL_ds1302=0;

_nop_();

RST_ds1302=1;

_nop_();_nop_();

data_ds1302=0x8e;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

IO_ds1302=0;

data_ds1302=0x00;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1; }

RST_ds1302=0;

SCL_ds1302=0;

_nop_();

RST_ds1302=1;

_nop_();

_nop_();

data_ds1302=0x90;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

data_ds1302=0x0a4;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

RST_ds1302=0;

_nop_();

SCL_ds1302=0;

_nop_();

RST_ds1302=1;

data_ds1302=0x8e;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

data_ds1302=0x80;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

RST_ds1302=0;

_nop_();

SCL_ds1302=0;

}

unsigned char read_ds1302(char command) //read函数

{

unsigned int i;

data_ds1302=command;

SCL_ds1302=0;

_nop_();

RST_ds1302=1;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01;

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

SCL_ds1302=1;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

if(IO_ds1302)

data_ds1302=(data_ds1302>>1)|0x80; //送入到data_ds1302中,准备送出else

data_ds1302>>=1;

SCL_ds1302=1;

}

RST_ds1302=0;

_nop_();

SCL_ds1302=0;

return (data_ds1302);

}

void write_ds1302(unsigned char address,unsigned char numb)

{

unsigned int i;

SCL_ds1302=0;

RST_ds1302=0;

RST_ds1302=1;

data_ds1302=address;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01; //送入写地址

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

data_ds1302=numb;

for(i=1;i<=8;i++)

{

SCL_ds1302=0;

IO_ds1302=data_ds1302&0x01; //送入写的内容

_nop_();SCL_ds1302=1;

data_ds1302=data_ds1302>>1;

}

}

void read_time()

{

unsigned char second,minte,hour,d,date,month,year,zhou;

second=0x81; //读秒

d=read_ds1302(second);

display_buffer[5]=d&0x0f;

display_buffer[4]=d>>4;

minte=0x83; //读分

d=read_ds1302(minte);

display_buffer[3]=d&0x0f;

display_buffer[2]=d>>4;

hour=0x85; //读时

d=read_ds1302(hour);

display_buffer[1]=d&0x0f;

display_buffer[0]=d>>4;

year=0x8d; //读年

d=read_ds1302(year);

display_buffer[7]=d&0x0f;

display_buffer[6]=d>>4;

month=0x89; //读月

d=read_ds1302(month);

display_buffer[9]=d&0x0f;

display_buffer[8]=d>>4;

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

课程设计出租车计费器

推南呼紐厚院 电子技术课程设计报告 题 目:基于Multisum 的出租车计价器课 程设计 级:3班 指导教师:聂文艳 机械与电气工程学院制 2015年3月 学生姓名: 学生学号: 李少华 1314050317 13级 年 级: 业: 电气工程及其自动化

目录 设计任务 ....................... 1.1 设计目的和意义 . ...................... 1.1.1 目的 ................... 1.1.2 意义 ................... 1.2 初始参数和要求 . ...................... 1.2.1 74LS160 计数器的简介 1.2.2 1.2.3 1.2.4 1.2.5 系统设计 2.1 2.2 74LS85 比较器的简介 74LS283 加法器的简介 初始要求 . .......................... 所用软件工具 . .............. 2.3 系统工作原理 . .......................................... 器件选择 ............................... 2.2.1 74LS160 计数器的功能 ............. 2.2.2 74LS283 加法器组成预置数计数器 2.2.3 74LS85 比较器的组成和内部结构 2.2.4 设计所需的器件归纳 . ................................. 电路设计 . ................................................ 2.3.1 2.3.2 2.3.3 2.3.4 路程的计数及显示 ..... 路程与预设值的比较 . 加 法器的预置 . ............... 电路整体的整合 . ......... 电路仿真测试 ............................ 2.4.1 路程计数及显示 . ............................... 2.4.2 系统整体电路运行及车费的显示 . 2.4.3 仿真结论 . ......................................... 总结 ........................................ 3.1 结论 . ...................................................... 3.2 优点与不足 . .............................................. 3.3 心得与体会 .............................. 参考文献 ................................... 2.4 3 3 3 4 4 4 4 4 4 4 5 5 6 6 7 8 9 9 9 10 10 11 11 11 12 12 13 13 13 13 14

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

出租车自动计费器设计(课程设计报告模板)

. . . 目录 1 绪论 (1) 1.1设计背景 (1) 1.2QUARTUS II简介 (1) 1.3VHDL语言基础 (2) 2 出租车计费器总体设计结构 (2) 2.1系统设计要求和目的 (2) 2.2.1 系统设计要求 (2) 2.2.1 系统设计目的 (2) 2.2设计思路 (3) 2.3系统总体结构 (3) 2.4出租车计费器系统工作流程图 (4) 3 出租车计费器的实现 (5) 3.1出租车计费器的顶层原理图 (5) 3.2系统各功能模块的实现 (5) 3.2.1 计费模块JIFEI (5) 3.2.2 计量模块JILIANG (6) 3.2.3 显示控制模块SELTIME (7) 3.2.4 显示模块DELED (7) 4 出租车计费器系统仿真及分析 (8) 4.1计费系统的仿真 (8) 4.2单元模块的仿真及分析 (10) 4.2.1 译码显示模块的仿真及分析 (10) 4.2.2 显示控制模块的仿真及分析 (12) 4.2.3 计量模块的仿真及分析 (13) 4.2.4 计费模块的仿真及分析 (13) 5 锁定管脚及硬件实现 (14) 5.1锁定管脚图 (14) 5.2硬件实现 (14) 5.2.1 显示结果的几种情况 (15) 5.2.2 硬件实现总结 (16) 6 设计体会与总结 (17) 参考文献 (18) 附录 (19) 1JILIANG模块的VHDL编程 (19) 2JIFEI模块的VHDL编程 (21) 3SELTIME控制模块的VHDL编程 (22) 4DELED模块的VHDL编程 (23)

1 绪论 1.1 设计背景 随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具,它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是具有一定意义的。出租车计费器是出租车营运收费的专用智能化仪表,是出租车市场规化,标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备,简单易用,计量准确的出租车计费器是加强出租车行业管理,提高服务质量的必需品。本设计就是采用VHDL硬件描述语言作为设计手段,采用自己的设计思路,得到一种出租车计价系统的软件结构,通过Quartus II 6.0软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求,具有一定的实用性。 1.2 QUARTUS II简介 QUARTUS II 是Altera公司的综合性CPLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整CPLD设计流程。QUARTUS II 支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Altera QUARTUS II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

(VHDL实验报告)出租车计费器的设计

电子科技大学成都学院学院

一、实验名称 出租车计费器的设计 二、实验目的 1、了解出租车计费器的工作原理。 2、学会用V HDL 语言编写正确的七段码管显示程序。 3、数量掌握用V HDL 编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 三、实验原理 出租车计费器一般都是按公里计费,通常是起步价 xx元(xx元可以行走x公里),然后再是x x元/公里。所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。结果的显示用 8 个七段码管,前四个显示里程,后三个显示费用。 在设计VHDL程序时,首先在复位信号的作用下将所有用到的寄存器进行清零,然后开始设定到起步价记录状态,在此状态时,在起步价规定的里程里都一直显示起步价,直到路程超过起步价规定的里程时,系统转移到每公里计费状态,此时每增加一公里,计费器增加相应的费用。 为了便于显示,在编写过程中的数据用BCD码来显示,这样就不存在数据格式转换的问题。比如表示一个三位数,那么就分别用四位二进制码来表示,当个位数字累加大于9时,将其清零,同时十位数字加1,依此类推。 四、实验内容 本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3元,准行1公里,以后1元/公里。显示部分的七段码管扫描时钟选择时钟模块的

1KHz,电机模块的跳线选择GND端,这样通过旋钮电机模块的电位器,即可达到控制电机转速的目的。另外用按键模块的S1来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。直流电机用来模拟出租车的车轮子,没转动一圈认为是行走1米,所以每旋转1000 圈,认为车子前进1公里。系统设计是需要检测电机的转动情况,每转一周,计米计数器增加 1。七段码管显示要求为前 4个显示里程,后3个显示费用。 五、实验步骤 1、打开Q UARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个V HDL File,打开V HDL 编辑器对话框。 3、按照实验原理和自己的想法,在V HDL 编辑窗口编写V HDL 程序。其 程序如下所示:

基于verilog HDL的出租车计费器

《EDA技术与应用》实训报告 学号 姓名 指导教师:江国强杨艺敏 2011 年4 月28 日

实训题目:出租车计费器 1.系统设计 1.1 设计要求 1.1.1 设计任务 设计并制作一台出租车计费器。 1.1.2 技术要求 ①用EDA实训仪的I/O设备和PLD芯片实现出租车计费器的设计。 ②出租车起步开始计程和计费,计程系统按实际公里数计程,计费 系统首先显示起步价(如7.0),车行驶2km以内,只收起步价7 元。 ③出租车行驶超过2km后,按每公里1.6元在7.0元的基础上增加。 ④出租车行驶超过10km后(或超过20元路费),每公里加收50% 的车费,即车费变为每公里2.4元。 ⑤出租车达到目的地后,(用一个按钮)计程和计费数据清零,为 下一次计费开始。 1.2 方案比较 最初计费模块选择乘法,但占用资源过多,所以选择分段加法来解决 1.3 方案论证 1.3.1 总体思路 整个系统主要由计程兼计费模块组成,另外添加计时器和流水灯模块作为附加功能,最后由选择模块选择输出费用或时间,分频模块作为路程模拟输入。 1.3.2 设计方案 分频器将实训仪的时钟转换成1s或0.1s的脉冲模拟行进一公里,将脉冲输入计程兼计费模块进行路程累加和计费,同时输出路程数和费用。流水灯则按行进路程进行亮灭,附加的时钟功能可以查看时间。最后由选择模块进行费用和时间间的切换。

2.各个模块程序的设计 ①分频模块:模拟车辆行进,分1s/km档和0.1s/km档 module fpq(clk,cout,k1); input clk,k1; reg [24:0] q; output reg cout; always @(posedge clk) begin case(k1) 0: begin q=q+1; if (q==20000000-1) begin cout=1;q=0;end else cout=0; end 1: begin q=q+1 ; if (q==2000000-1) begin cout=1;q=0;end else cout=0; end

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

单片机出租车计价器课程设计报告书

word完美格式 嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号:

一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通 过线路连接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生 一个脉冲信号送给单片机作为一定距离的计数值。 ●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助 计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号(P3.4)。

五、LED显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 P0.7-P0.0 数码管输出数据 P2.7-P2.4 数码管位选 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上8.6元;由一开关进行选择。每 公里1.5元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计 67个数产生一个溢出中断。 七、电路原理图及引脚

出租车计费器EDA

南京理工大学 EDA 设计(II) 实验报告 作者:____________________ 学号:_________________ 学院(系): ___________ 自动化学院_______________ 专业:____________________ 自动化_________________ 标题___________________ 出租车计费器______________ 指导老师: 实验日期:2015 年9月

目录 1 绪论1 2 系统总体设计1 2.1 系统设计思想1 2.2 系统功能概述1 2.3 系统总体组成结构1 3 系统各模块详细设计2 3.1 计时模块2 3.2 按键控制模块2 3.3 动态显示模块3 3.4 里程和车费计算模块4 3.5 我的部分4 4 系统调试5 4.1 系统仿真5 4.2 系统实际验证5 4.3 调试过程中遇到的问题与解决方法5 5 总结与心得体会 6 致谢6 参考文献7

出租车计费器 1 绪论 EDA是电子设计自动化(Electronic Design Automation )的缩写,发展于20世纪90年代。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 本次EDAS计使用MAX+plus II实验开发系统,学习并掌握VHD硬件描述语言 的基本语法以及设计方法和思想,将已学过的数字电子设计知识综合运用于电子系统的设计中,掌握运用VHDL设计电子系统的流程和方法,加强和培养自己对电子系统的设计能力。 综合设计的任务是用一周的时间,在EDA软件MAX+plus II上用VHD语言设计完成一个电子技术综合问题,小组成员分工,负责各个子模块的设计,最后将各个模块整合起来,连接整体电路,分配引脚,下载到实验班上进行测试。 2系统总体设计 2.1 系统设计思想 用VHDL语言设计出符合功能要求的的出租车计费器系统,完成设计后使用系统实验箱下载验证。 2.2 系统功能概述 1、计费功能:计费标准为按行驶里程收费,起步价为11元,车行3公里后每公里1.4元,车停止时不计费; 2、实现模拟功能:能模拟汽车启动、暂停及停止状态; 3、实现显示功能:能在数码管上显示出公里数及总费用。

单片机出租车计价器课程设计

单片机出租车计价器课程 设计 This manuscript was revised on November 28, 2020

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务

出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连接构成一个完整的系统。 公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲信号送给单片机作为一定距离的计数值。 显示器:用LED 显示,动态扫描显示,不断输出即时价格已及时间。 定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数 量即为车行驶 一公 里,并 对价格 进行增 加。在 没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED 显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED 数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B ,T1工作方式2 数码管输出数据 数码管位选 显示小数点(长亮) 计价器工作开关 白天/夜间切换 计数脉冲输入

基于单片机的出租车计价器设计报告

理工大学 《单片机应用与仿真训练》设计报告出租车计价器设计 学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能的计价功能。设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息。本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能。 出租车计价是根据车所行驶的路程以及乘客乘车的方式综合决定的。出租车行驶总路程可以通过车轮的周长乘车轮旋转圈数得到。即可计算得到车轮旋转几周出租车能行驶一公里的路程。使用光电对管能方便地计量车轮旋转的圈数,输出的脉冲信号被接入到AT89S52单片机系统中,通过计算接收到的脉冲个数,计算出当前所行驶的路程,并且可以计算实时的速度。与此同时,根据不同的收费标准计算收费。通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等。 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage

基于单片机的出租车计价器设计报告

《单片机应用与仿真训练》设计报告出租车计价器设计 姓名学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能地计价功能.设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息.本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能. 出租车计价是根据车所行驶地路程以及乘客乘车地方式综合决定地.出租车行驶总路程可以通过车轮地周长乘车轮旋转圈数得到.即可计算得到车轮旋转几周出租车能行驶一公里地路程.使用光电对管能方便地计量车轮旋转地圈数,输出地脉冲信号被接入到AT89S52单片机系统中,通过计算接收到地脉冲个数,计算出当前所行驶地路程,并且可以计算实时地速度.与此同时,根据不同地收费标准计算收费.通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等. 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage protection, the use of two four-segment common cathode digital display tube mileage, the total price and waiting time information. This design enables single and double-way set, system halted, empty instructions, information display, power-down protection and other functions. The taxi meter according to the distance traveled by the vehicle and passengers ride the decision. Taxi driving the total distance by the circumference of the wheel drive wheel rotation laps. Can be calculated by the wheel rotation a few weeks a taxi to travel one kilometer away. The use of opto-the number of turns of the tube can be easily measured wheel rotation, the output

出租车计费器的设计

电子科技大学成都学院学院 标准实验报告 (实验)课程名称数字电路EDA设计与应用 姓名乱弹的枇杷 学号1240830 专业电气工程及其自动化 指导教师张

一、实验名称 出租车计费器的设计 二、实验目的 1、了解出租车计费器的工作原理。 2、学会用V HDL 语言编写正确的七段码管显示程序。 3、数量掌握用V HDL 编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 三、实验原理 出租车计费器一般都是按公里计费,通常是起步价 xx元(xx元可以行走x公里),然后再是x x元/公里。所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。结果的显示用 8 个七段码管,前四个显示里程,后三个显示费用。 在设计VHDL程序时,首先在复位信号的作用下将所有用到的寄存器进行清零,然后开始设定到起步价记录状态,在此状态时,在起步价规定的里程里都一直显示起步价,直到路程超过起步价规定的里程时,系统转移到每公里计费状态,此时每增加一公里,计费器增加相应的费用。 为了便于显示,在编写过程中的数据用BCD码来显示,这样就不存在数据格式转换的问题。比如表示一个三位数,那么就分别用四位二进制码来表示,当个位数字累加大于9时,将其清零,同时十位数字加1,依此类推。 四、实验内容 本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3元,准行1公里,以后1元/公里。显示部分的七段码管扫描时钟选择时钟模块的

单片机出租车计价器课程设计

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连 接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲 信号送给单片机作为一定距离的计数值。

●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED显示电路 对Array设计总 体分析 下,只 需对其 价格进 行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产 生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B,T1工作方式2 MOV TH1, #0BDH ;自动重装 MOV TL1, #0BDH ;计67个数 SETB TR1 ;计数器启动 CLR ;点亮小数点dp MOV R4, #0FFH ;置数-1

出租车计价器说明

出租车计价器 1功能描述 出租车计价器是有液晶显示,按键控制,进行价格的设定,实现模拟出租车计价器的控制。 2产品模块配置 1. EDM606-12864点阵液晶 2. EDM001-MCS51单片机主板 3. EDM403-8位独立按键 4. EDM502直流电机 5. EDM405 PNP三极管驱动 6. EDM314+-5V,+-12V直流电源模块 3 单元模块电路及功能 1. EDM314+-5V,+-12V主流电源模块为各模块提供电源。 4产品模块连线 各模块都连接电源 5V,GND。 EDM001-MCS51主机:P00~P07 连EDM606-12864点阵液晶: DB0~DB7 EDM001-MCS51主机:P10~P17 连EDM606-12864点阵液晶: RST~NC EDM001-MCS51主机:P20~P27 连 EDM403-8位独立按键: F2~左 EDM001-MCS51主机:P30 连 EDM405 PNP三极管驱动: IN EDM001-MCS51主机:P32 连 EDM502直流电机:PULSE EDM405 PNP三极管驱动:OUT 连 EDM502直流电机:M- EDM502直流电机:M+ 连 VCC 产品原理图:

6 实验步骤及调试 F2为开机键 F1为菜单设置保存键 SET为启动键 0K按一次为暂停键按二次为完成键 上为菜单设置键 下为菜单设置键 左为菜单设置键 右为菜单设置键 功能使用说明:连线完成上电 按F2开机过五秒后进入出租车计价器菜单按F1 设置菜单此时设置的菜单会变黑,按左右键进行加减(只有价格可以修改)当要修改其他的参数的时候会提示不能操作,(因为路程等其他参数是不能进行修改的不符合实际)价格设置完成后按F1保存按SET启动电机转动当遇到堵车或其他原因要暂时停车可按OK键在此行驶时按SET键即可继续启动, 停止时按OK键,按F1键查看菜单行驶》单价》总价》时间》总路程》载人次数》工作时间》累计金额。 再按OK,清零。 当提示无权操作的时候,按F1返回。

出租车计价器控制电路的设计方案

数字电子课程设计 课题名称出租车计价器控制电路的设计 所在院系机电学院 班级07自动化<2)班 学号200710320227 姓名龚涛 指导老师张玲 时间2009-12-27

景德镇陶瓷学院 数字电子课程设计任务书 班级:07自动化<2)班姓名:龚涛指导老师:张玲

教研室主任签字:年月日 目录 0.前言4 1.设计要求及原理4 1.1 设计要求4 1.2 基本原理4 2.各单元设计说明5 2.1 单片机最小系统单元5 2.2 A44E霍尔传感器检测单元6 2.3 AT24C01存储单元7 2.4 键盘调整单元8 2.5 显示单元9 3程序设计9 3.1 系统主程序9 3.2 中断程序10 3.2.1 里程计数中断程序10

3.2.2 中途等待中断程序10 3.3 计算程序10 3.4 显示程序10 3.5 键盘程序10 4.0总电路图11 5.0原器件清单12 6.0参考文献12 0.前言 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 1.设计要求及原理 1.1 设计要求

相关文档
最新文档