数电课程设计出租车计价器

数电课程设计出租车计价器
数电课程设计出租车计价器

目录

引言 (1)

一、设计目的 (1)

二、设计任务 (1)

2.1设计要求及技术指标 (1)

2.2要完成的任务 (1)

2.3设计模块 (2)

2.4总体思想 (2)

2.5总体框图 (3)

三、出租车自动计费器的实现 (3)

3.1出租车电路的实现 (3)

3.1.1里程计算与显示电路 (3)

3.1.2里程比较电路 (4)

3.2时间计费模块中的计数部分 (6)

3.2.1设计任务分析 (6)

3.2.3单元电路分析与设计 (6)

3.2.4系统原理电路与仿真 (6)

3.3 时间计费模块中的比较部分 (7)

3.3.1 设计任务分析 (7)

3.3.2 系统方案设计 (7)

3.3.3 单元电路分析与设计 (7)

3.3.4 系统原理电路与仿真 (8)

3.4计价部分 (8)

四、仿真过程 (8)

4.1里程大于3km时 (9)

4.2 等待时间大于5分钟时 (9)

五、总电路 (10)

六、设计心得 (10)

七、出租车计费器核心器件简介 (12)

7.1 74LS160 (12)

7.2 74LS85 (14)

7.3 555计时器 (15)

7.4 7400N 74LS02N 7404N (17)

7.5所用元件数量及价格表 (18)

八、参考文献 (19)

引言

随着社会的进步以及人们生活水平的日益提高都促使交通工具的不断变化,无论是在大城市或是中小城市越来越多的出租车公司不断的出现。出租车的出现方便了人们的生活,对于现在快节奏生活方式的人们来说出租车无疑是最好的交通工具。那么其收费的方式自然而然就被给予关注,比如收费标准以及行驶里程等。

一、设计目的

1.1 本电子设计主要实现以下目的:

1)增强对数字电子技术课程的了解与掌握

2)学习相关软件的使用方法

3)具备简单电子的设计能力

二、设计任务

2.1设计要求及技术指标

设计一出租车计价器,设计要求及技术指标如下:

1、能计汽车行驶的里程和停车等候时间。

2、能自动显示出该收的车费和停车等候费。

3、超过基本里程,每千米行车费增加1元。

4、在等候计时时,超过基本时间,每分钟增加0.1元。

2.2要完成的任务

设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时

间计费三部分,用四位数码管显示金额,最大值为999.9元,最小计价单元为

0.1元,行程 3km内,且等待累计时间5分钟内,起步费为8元,超过3km,以

每km1元计费,等待时间单价为每分钟0.1元。用两位数码管显示总里程,最大为99.9公里。用两位数码管显示所超时间数,最大值为59min。

2.3 设计模块

(1)里程计费模块

里程计费模块实现对于出租车在形式过程中里程计费功能。当行车里程大于3KM时,计费模块实现一次计数。即超过3KM,每公里增加1元。

(2)时间计费模块

时间计费模块实现对于出租车在形式过程中等候计费功能。当等侯时间大于5Min时,计费模块实现一次计数。即超过5Min,每分钟增加0.1元。

(3)计量计费模块

计费计量模块实现对于出租车在行驶和等待过程中的继承和计时功能;当行车里程大于3KM时或等待时间大于5Min时,计量模块均实现一次计数。

2.4总体思想

汽车一开动,随着里程的增加,就会看到汽车前面的计价器里程数字显示的读数从零逐渐增大,而当行驶到3km时,计费数字显示开始从起步价8元开始逐渐增加。当出租车遇到红灯或堵车时等待计时模块开始启动,里程模块暂停,当等待时间大于5min时,超时计时模块启动,同时超时计费模块也启动,汽车继续行驶时,停止等候计时,继续增加里程计费,到达目的地时,便显示应收费用。

2.5总体框图

图2.1

三、 出租车自动计费器的实现

3.1出租车电路的实现

3.1.1里程计算与显示电路

里程计算模块对测距传感器发出的0.1km 的脉冲信号进行计数,计满10个脉冲

表示1km 。 用三片74ls160接成三个十进制计数器,由信号发生器触发脉冲,每触发

一个脉冲为出租车行进中的0.01km,第一块加法计数器累计十次脉冲,向第二快加法

计数器输去一次脉冲,当第二块加法计数器累计到十次时,表示出租车向前行进了1km.里程计算与显示电路如下图3.1所示。 译码显示 基本里程比较

里程计费器 计费电路 译码显示 里程单价设置

译码显示

>=1 侯时

超过基本里程Q

每公里脉冲等候时间

出租车里程传感

器输出信号 侯时起动

图3.1里程显示电路

3.1.2里程比较电路及计费电路

里程比较电路如下图3.2所示,用两片74LS160接成100进制计数器,由信号发生器触发脉冲,每触发一个脉冲为出租车行进中的0.1km,当里程超过3km通过7485N比较器,从而7485N(U8)比较器的F(A>B)输出为高电平,通过或非门作为超基本里程计费的闸门信号,启动超基本里程计费电路,由每公里的触发脉冲触发计费电路实现

费用累加。

图3.2里程比较

基本里程设定为3km。当实际行驶距离超过基本里程时,则在原有计费的基础上加上每公里单价,电路如下图3.3所示

图3.3

3.2时间计费模块中的计数部分

3.2.1设计任务分析

汽车在行驶过程中遇到红灯需要等待,客人有事办需要等待。等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1元计费,等待时间单价为每分钟0.1元。那么,需要设计一个计数器在3分钟内计数器不输出,第四分钟脉冲下降沿来时计数器开始输出。用多谐振荡器来控制时钟脉冲。

3.2.2系统方案设计

用555定时器构成多谐振荡器并产生T=1min的时钟脉冲。如下图3.4所示

图3.4

3.2.3单元电路分析与设计

设计框图

输入脉冲等候时间计数显示器显示计数

3.2.4系统原理电路与仿真

图3.5

3.3 时间计费模块中的比较部分

3.3.1设计任务分析

等待累计时间3分钟内,不收取等待时间费用,超过5分钟以每分钟1元计费。那么,需要设计一个比较器与计数器比较,再用另一组计数器记录下收费的时间,实现在5分钟内计数器不输出,第6分钟脉冲下降沿来时计数器开始输出。

3.3.2系统方案设计

用4片74ls160构成6000进制计数器,秒由十进制和六进制级联成60进制并依次为秒的个位、十位,分钟由两个10进制直接级联成100进制且依次为分钟的个位、十位。用555定时器构成多谐振荡器并产生T=1min时钟脉冲。3.3.2单元电路分析与设计

设计框图

3.3.3

系统原理电路与仿真

图3.5

3.4计价电路

该电路由两部分组成,一是里程计价:在起价公里以内(如3公里内),按

起步价算;若超过起价里程,则每走0.1km ,计价器则增加的相应的价钱。二是

等候计价;汽车运行时,自动关断计时等待,而当要等候计数时,需要手动按动

“等侯”计费开关,进行计时,时间超过基本等待时间,每超过1min ,则相当

超过0.1km ,相当于里程增加了0.1km ,数字显示均为十进制数。

四、 仿真过程

4.1里程大于3km 时,仿真如下图4.1所示

计数器 比较器 计费模块

图4.1

4.2等待时间大于5分钟时,如下图4.2所示

图4.2

五、总体电路图

总体电路图5.1

六、心得体会

三周的课程设计时间很快就过去了,这三周的课程设计让我对我们数电有了更多的认识。当然包括在这三周中我们这组做的出租车计费器,不仅对它的内部结构有了认识,更对其内部芯片等的作用有了更多的了解.

在这次实习过程中,我们遇到了很多问题,例如,在预置好起步价时,当里程超过基本里程时,价钱开始增加,在连线是出现了一些问题,还有在里程比较器和时间比较器中,最初比较器只用了一个只能比较一位二进制数,然而当里程大于10km或时间大于10分钟时比较器出现了问题,还有就是将超过的时间和里程转化为价钱时,仿真时出现了错误,而在所有问题中最主要问题是整个电路的连

接问题。我们最初的办法是将整个模块分块做出来,当每个模块都运行正确的时

候再将他们整合在一起. 虽然有很多次的努力, 可是我们没有成功. 后来经过

仔细思考,我试着改变电路整体模块电路中元件,最终才得到了我们的预期结

果。经过这次多.不仅仅是关于数字电子电路的知识,更有的是让我们学到了面

对人生的知识.当我们由寻找电路图的迷茫,到找到有关参考书籍困惑,再到画图

设计过程中的烦忧,最后到解决后的舒心,我们学会了一种面对困难不屈不挠的

精神,我们发现,只要你真正的静下心来钻研,其实一切很简单,只不过因为当时

我们的害怕心理,还有我们的浮躁, 让我们乱了阵脚.所以不管我们以后是做什

么事情,最最重要的是要静下心,然后努力,这样之后,我坚信,成功就在不远方。

通过此次课程设计让我能够亲身参予电子产品的设计师使我更加深深地体

会到:现代的社会是信息的社会,很多与人们生活紧密相关的产品都是电子产品

比如决定现代社会发展方向的电脑以及几乎每个同学都拥有的收音机等等无不

说明电子产品的重要性。作为一名测控专业大三的大学生,我认识到我不仅要

学好课本上的知识,还要学会将所学到的知识联系并应用到现实生活中,做到理

论联系实际。经过三个星期的设计过程,我学到了很多东西,对一年以来所学

过的数电方面的知识也重新学习了一遍,也对自己在数字电子方面的能力有了更

客观的评价,在这次设计过程中,从最基本的查元件,找资料做起,了解了完整

的电子设计的一般步骤,也和同学共同探讨研究,学到了很多课堂上学不到的东

西,也遇到了各种各样从没想过的问题,并认真的解决了它们,另外对各种电子

类设计的计算机辅助手段有了更深一步的接触,同时也使我增加了对电子方面

知识的兴趣,也从中发现了自己的一些不尽如人意的地方,以后要多改进。

课程设计让我体会到知识运用的重要性,但精神上的丰收更大,使我更加自信,

而且,我想我找到了自己的方向。

这次课程设计必将成为我们人生中一个非常重要的启发,也给我们留下了一个美好的回忆!这次实验也让我更加的熟悉、理解了74系列芯片功能的强大,

并且能够熟悉的运用multisim进行电路仿真实验。我们设计的实验电路都是用

我们所学过的基本简单的电路芯片和门电路实现的,能实现实验所需要的所有功

能,而且加入了新功能。我们小组分工合作,每个组员分别完成了各部分的工作

电路,设计过程中各组员都遇到了不少困难,但经过讨论和查阅资料都一一解决

了。最后小组讨论合并电路,这是最关键的一部,也是出现最多问题的一部,因

为各个人设计的部分电路思路都不大一样很难合并,经过我们大费周章的讨论、

查阅资料和修改研究,最终还是把整体电路做出来了,并且用multisim 仿真软

件进行了仿真。

通过这次实验,让我学会了使用multisim 仿真软件,而且认识到电路设计并不是一个简单的事,首先要整理好整体电路设计的思路,每一个模块必须要衔

接上,逻辑思维要很好,而且要很熟悉各个电路芯片的功能表,最后才能把电路

设计出来。

从理论设计到仿真软件仿真,再到确定具体方案。整个过程都需要我们充分利用所学的知识进行思考、借鉴。通过本次设计,我加深了对课本知识的认识,同时为了这次课程设计的完成阅读了大量资料,加深了书本中各种器件的认识与

了解,同时为后期的复习打下了一定的基础。

七.出租车计费器核心器件简介

7.1十进制计数器74LS160.

(1) 74LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的

功能。使用74LS160通过置零法或置数法可以实现任意进制的计数器。其引脚图见图。

功能管脚图图7.1.1

(2)先对74LS160的基本功能进行测试,逻辑功能表如下图。

①异步清零:当 CLR=0时,Q 0=Q1=Q2=Q3=0。

②同步预置:当 LOAD=0时.在时钟脉冲上升沿作用下.Q0=D0.Q1=D1.Q2=D2.Q3=D3

③锁存:当使能端时,计数器禁止计数,为锁存状态。

④计数:当使能端EP=ET=1时,为计数状态。

逻辑符号图7.1.2

(3)74LS160的逻辑功能表

7.2 4位数值比较器7485

(1)4位数的比较是从A的最高位A3和B的最高位B3进行比较,如果它们

不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2和B2,余类推。显然,如果两数相等,那么,比较步

骤必须进行到最低位才能得到结果,如图7.1所示:

(2)功能表

7485功能表表7.1

图7.1 两个8位二进制比较的连接电路

图7.2

(3)两个8位二进制比较的连接电路对于两个8位数,若高4位相同,它们

的大小则由低4位的比较结果确定。因此,低4位的比较结果应作为高

4位的条件,即低4位比较器的输出端应分别与高4位比较器的IA>B、IA<B、IA=B端连接。当位数较多且要满足一定的速度要求时,可以采取

并联方式如图7.2所示:

7.3 555定时器

555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。555定时器的功能主要由两个比较器决定,两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压

大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为1,可将 RS 触发器置 0,使输出为 0 电平。

引脚功能

1脚:外接电源负端VSS或接地,一般情况下接地。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。一般用5V。

3脚:输出端Vo

2脚:低触发端

6脚:TH高触发端

4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

输入输出

阈值输入端6 触发输入端2 复位端

4

输出端 3 放电管T端7

××0 0 导通<2/3Vcc <1/3Vcc 1 1 截止>2/3Vcc >1/3Vcc 1 0 导通

<2/3Vcc >1/3Vcc 1 不变不变

表7.1 555集成定时器功能表

2.555定时器逻辑图

图7.3 555定时器逻辑图

7.4 7400N 74LS02N 7404N的真值表

7400N为与非门,74LS02N为或非门 7404N为非门

7400N 真值表 74LS02N 真值表 7404N 真值表

7.

5

所用元件数量及价格

实验元件

数目 价钱 74LS160

11个 1.4元 74LS02N

1个 1元 555

1个 2元 74LS00N

1个 1 元 开关

2个 无 显示管

10个 无 电源

若干 无 7404N

4个 0.8元 7400N

4个 0.5元

参 考 文 献

A

F 0 1 1 0 A B F 0 0 0 0 1 0 1 0 0 1

1 1 A B F 0 0 0 0 1 1

1 0 1 1 1 1

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

基于数电设计出租车里程计价器

电子技术课程设计报告题目:出租车里程计价器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月

出租车计价器课程设计 机械与电气工程学院自动化 1设计的任务与要求 1.1课程设计任务 (1)能够实现计程功能 (2)实现计费功能,计费标准为:按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,能将车费显示出来。 1.2初始参数和要求 (1)74LS160计数器的简介 74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器,共有54/74160 和54/74LS160 两种线路结构型式。 (2)74LS85比较器的简介 74LS85比较器是一个4位数值比较器,它是由高位开始比较,逐位进行。若最高位已比较出大小,则以后各位大小都对比较结果没有影响;如果最高位相等,则比较次高位;同理,次高位已比较出大小,则以后各位大小对结果没有影响。如果4位比较都相等,则再看级联信号输入。级联输入信号是由低位比较器的输出而来。 (3)74LS283加法器的简介 74LS加法器是4为超前进位加法器,能够实现两个数值信号的相加。 (4)初始要求 电路能够实现初始设定,起步费为6.0元,并在车行3公里后再按2.0 元/公里计算,车停止不计费,能将路程及车费显示出来。 2出租车里程计价器设计方案制定 2.1系统工作原理 本设计方案系统的总体工作原理如图1所示。按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,将路程及车费显示出来。

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

单片机出租车计价器课程设计报告书

word完美格式 嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号:

一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通 过线路连接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生 一个脉冲信号送给单片机作为一定距离的计数值。 ●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助 计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号(P3.4)。

五、LED显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 P0.7-P0.0 数码管输出数据 P2.7-P2.4 数码管位选 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上8.6元;由一开关进行选择。每 公里1.5元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计 67个数产生一个溢出中断。 七、电路原理图及引脚

数电课程设计:出租车计价器

湖南大学电气与信息工程学院本科生课程设计 课程:数电课程设计 题目:出租车计价器 专业:电气工程及其自动化 班级: 学号: 姓名: 时间:2015年6 月 指导教师:

一、基本功能及要求 1、基本功能: 实现计程车的计价器功能。一方面实现基本功能,包括在行程中满足2公里以内计起步价6 元,以后在车行2公里后且10公里以内再按1.8元/公里,10公里以后按2.2元/公里计费;处于等待的状态下满足5 分钟以内不计价,以后以2元/分计费。另一方面多功能的实现,计价器可以显示总费用,总里程数和总乘车时间等等。 设计的主要技术指标: 1、计价范围: 0~999.0元 计价最小单位: 0.1元。 2、计程范围: 0~99公里 计价最小单位: 1公里。 3、计时范围: 59分59秒 计时最小单位: 1秒(<1小时) 2、要求 实现模拟功能:能模拟汽车启动、停止等状态。当汽车行驶时模拟车轮转动以计算行车里程数,当汽车停止时可以计时以计算停车时间过长产生的费用,从而计算出最终的乘车费用。

二、系统模块划分 1、计费模块 CP1端输入的脉冲信号经系统内部分频后作为模拟行车里程信号。CP2端输入脉冲信号进系统内部分频后作为行车时间信号。 RR为复位信号。RR=‘1’时计价器清零。RSTN为计价器开关。CASH[15…0]、MILES[15…0]、TIMES[15…0]端分别输出乘车费用,行车里程,行车总时间,它们都是用16位2进制BCD码表示。 2、LCD显示模块 BUSY:控制器忙信号,数据未显示稳定时BUSY=1,反之为0。CASHH[7…0] CASHL[7…0] :乘车费用16位2进制BCD码的高8位数据和低八位数据。 MILEH[7…0] MILEL[7…0] : 行车里程16位2进制BCD码的高8位数据和低八位数据。 CPIN :输入工作时钟信号。 R : LCD显示开关。 TMH[7…0] TML[7…0] :行车时间16位2进制BCD码的高8位数据和低八位数据。 ADDR[3…0]:字符在LCD屏幕上的地址(共两行,每行16个字符)

单片机出租车计价器课程设计

单片机出租车计价器课程 设计 This manuscript was revised on November 28, 2020

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务

出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连接构成一个完整的系统。 公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲信号送给单片机作为一定距离的计数值。 显示器:用LED 显示,动态扫描显示,不断输出即时价格已及时间。 定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数 量即为车行驶 一公 里,并 对价格 进行增 加。在 没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED 显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED 数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B ,T1工作方式2 数码管输出数据 数码管位选 显示小数点(长亮) 计价器工作开关 白天/夜间切换 计数脉冲输入

出租车计价系统设计

分类号:200 届本科生毕业论文 题目:出租车计价系统设计 作者姓名:李小双 学号:2007080416 系(院)、专业:机械与电子工程学院 指导教师姓名:胡波 指导教师职称:讲师

宿州学院毕业设计出租车计价系统设计 200 年月日 摘要 摘要::出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 关键词:出租车计价器;单片机;控制 ABSTRACT Abstract:Taximeter is both passengers and drivers of the transaction criteria, it is the taxi industry an important symbol, a taxi is the most important tools. It relates to the interests of both transactions. Has a good performance regardless of the meter is a vast number of taxi drivers or passengers are very necessary. Therefore, the car meter is also very much a study of value. The use of analog circuits and digital circuit design of the meter as a whole circuit of a larger scale, using the device, a failure rate is high and difficult to debug, the pattern of switching need to use mechanical switches, mechanical switch will cause a long-time contacts Bad, functions not easy to achieve. SCM used for the design, a relatively powerful, with less hardware and appropriate software can easily coordinate with each other to achieve the design requirements, flexibility and strong, can be programmed by software to complete more of the additional Function. Pricing model for the switch, software programming and can easily cite the achievement. To avoid a mechanical switch the destabilizing factors. Keywords: Taximeter ;89 S51 MCU ;control

出租车计价器课程设计

摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器 》 @

第一章绪论 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 / 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这

基于单片机的出租车计价器设计报告

理工大学 《单片机应用与仿真训练》设计报告出租车计价器设计 学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能的计价功能。设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息。本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能。 出租车计价是根据车所行驶的路程以及乘客乘车的方式综合决定的。出租车行驶总路程可以通过车轮的周长乘车轮旋转圈数得到。即可计算得到车轮旋转几周出租车能行驶一公里的路程。使用光电对管能方便地计量车轮旋转的圈数,输出的脉冲信号被接入到AT89S52单片机系统中,通过计算接收到的脉冲个数,计算出当前所行驶的路程,并且可以计算实时的速度。与此同时,根据不同的收费标准计算收费。通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等。 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage

单片机出租车计价器课程设计

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连 接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲 信号送给单片机作为一定距离的计数值。

●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED显示电路 对Array设计总 体分析 下,只 需对其 价格进 行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产 生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B,T1工作方式2 MOV TH1, #0BDH ;自动重装 MOV TL1, #0BDH ;计67个数 SETB TR1 ;计数器启动 CLR ;点亮小数点dp MOV R4, #0FFH ;置数-1

出租车计价器设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计 课程设计题目: 出租车计价器的设计与实现 院(系): 计算机学院 专 业 : 计算机科学与技术 班 级: 24010104 学 号: 2012040101037 姓 名: 程里 指导教师: 罗振 说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要 求;数据不实 ,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月

沈阳航空航天大学课程设计任务书

课程设计总结:

目录 1 引言 0 1.1出租车计价器概述 0 1.2计价器整体功能描述结构 0 1.3各部分电路功能描述 (1) 2计价器硬件设计 (1) 2.1系统的硬件构成及功能 (1) 2.2MCS-51系列单片机内部结构及功能部件 (3) 2.2.1MCS-51系列单片机的内部结构框图 (3) 2.2.2单片机外部引脚说明 (4) 2.2.3并行输入/输出接口 (6) 3系统的软件设计 (6) 3.1软件总体设计 (6) 3.2系统主程序设计 (8) 3.3显示子程序服务程序 (9) 3.4按键服务程序 (9) 4系统调试与测试结果分析 (10) 4.1系统调试 (10) 4.1.1硬件调试 (10) 4.1.2软件调试 (10) 4.1.3软硬件联调 (10) 4.2 电路图 (11) 参考文献 (10) 附录(关键部分程序清单) (13)

出租车计价器课程设计

. 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

第一章绪论 1.1 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 1.2 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的部硬件结构和指令系统主要是针对自动控制应

数电课程设计报告(交通灯)

前言 现如今,随着人口和汽车的日益增长,城市交通日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用于十字路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 本设计通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。本实验设计目的是培养数字电路的能力,掌握交通信号灯控制电路的设计方法。 设计任务及要求 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数; (5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。 本设计由王宇同学完成。 由于所学知识有限,设计中难免出现错误,请老师批评指正。

目录 第一章设计任务及设计目的 (1) 第二章系统概述 (2) 2.1 系统概述 (2) 2.2 交通灯逻辑分析 (2) 2.3总体设计方案 (2) 第三章单元电路设计与分析 (5) 3.1秒脉冲信号发生器的设计 (5) 3.2定时器的设计 (5) 3.3 控制器的设计 (6) 3.4 显示电路的设计 (9) 第四章综述及心得体会 (10) 4.1 系统综述 (10) 4.2 总结及心得体会 (10) 附录 (12) 附录一实验电路图 (12) 附录二芯片引脚图 (13) 附录三元器件清单 (16) 附录四焊接电路板 (17) 参考文献 (18)

基于单片机的出租车计价器设计报告

《单片机应用与仿真训练》设计报告出租车计价器设计 姓名学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能地计价功能.设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息.本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能. 出租车计价是根据车所行驶地路程以及乘客乘车地方式综合决定地.出租车行驶总路程可以通过车轮地周长乘车轮旋转圈数得到.即可计算得到车轮旋转几周出租车能行驶一公里地路程.使用光电对管能方便地计量车轮旋转地圈数,输出地脉冲信号被接入到AT89S52单片机系统中,通过计算接收到地脉冲个数,计算出当前所行驶地路程,并且可以计算实时地速度.与此同时,根据不同地收费标准计算收费.通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等. 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage protection, the use of two four-segment common cathode digital display tube mileage, the total price and waiting time information. This design enables single and double-way set, system halted, empty instructions, information display, power-down protection and other functions. The taxi meter according to the distance traveled by the vehicle and passengers ride the decision. Taxi driving the total distance by the circumference of the wheel drive wheel rotation laps. Can be calculated by the wheel rotation a few weeks a taxi to travel one kilometer away. The use of opto-the number of turns of the tube can be easily measured wheel rotation, the output

相关文档
最新文档