数字成形滤波器设计

数字成形滤波器设计
数字成形滤波器设计

数字成形滤波器设计及FPGA实现

摘要

本文对数字基带信号脉冲成型滤波的应用、原理及实现进行了研究。首先介绍了数字成型滤波的应用意义并分析了模拟和数字两种硬件实现方法,接着介绍了成形滤波器设计所需要MATLAB软件,以及利用ISE system generator在FPGA 上进行滤波器实现的优势。文中给出了成形滤波函数的数学模型,讨论了几种常用成形滤波函数的传输特性以及对传输系统信号误码率的影响。然后介绍了本次设计中使用到的数字成形滤波器设计的几种FIR滤波器结构。把各种设计方案进行仿真,比较仿真结果,最后根据实际应用的情况并结合设计仿真中出现的问题进行分析,得出各种设计结构的优缺点以及适合应用的场合。

关键词:成型滤波器,FIR,FPGA,窗函数

Design and FPGA Implementation of Digital Shaping

Filter

Abstract

This Paper investigates the problems about theory, application and implementation of digital base-band signal shaping. Firstly, it introduces the meanings of using shaping filter and analyzes the two ways of implementation: analog way and digital way. Secondly, it makes introduction about what used in design and implementation. Matlab in this paper is used to design and simulate the filter, and ISE system generator is helpful for filters of implemented by FPGA. This paper gives the mathematical model of the shaping-filter functions and discusses their transmission characteristics. Then, it introduces several FIR filter structures of digital shaping filter. Finally, it makes compares between the results of simulation, and analyzes problems appearing designing and simulation to find advantages and disadvantages of different structures and the fitting situation.

Key Words:Shaping filter; FIR; FPGA; Window

目录

摘要 (ⅰ)

Abstract (ⅱ)

第一章绪言 (1)

1.1 引言 (1)

1.2成形滤波器的应用 (1)

1.3成形滤波器的硬件实现 (2)

第二章开发环境与工具 (3)

2.1 FPGA概述 (3)

2.2 Matlab简介及使用 (3)

2.3 ISE工具介绍 (4)

第三章成型滤波函数及滤波器硬件结构设计 (5)

3.1 无码间干扰传输函数的设计 (5)

3.2 成型滤波器 (5)

3.2.1 低通滤波器 (5)

3.2.2 升余弦滚降滤波器 (7)

3.3 FIR滤波器结构 (8)

3.3.1 直接型结构 (9)

3.3.2 多相滤波结构 (10)

3.3.3 过采样 (12)

3.4 窗函数法设计FIR滤波器 (13)

第四章仿真与分析 (17)

4.1 仿真 (17)

4.1.1 直接型结构 (17)

4.1.2 直接型对称结构 (18)

4.1.3 转置式结构 (20)

4.1.4 8倍过采样结构 (21)

4.1.5 多相滤波结构 (23)

4.2 分析与心得 (24)

第五章总结与展望 (27)

参考文献 (28)

致谢 (29)

附录 (30)

第一章绪论

1.1引言

在现代无线电通信中,由于基带信号的频谱范围都比较宽, 随着现代数字通信技术的发展,频带拥挤的问题日益突出。为了有效利用信道,在信号传输出去之前,都要对信号进行频谱压缩,限制信号的带宽必然会增加接收机端的误码率。

1.2成形滤波器的应用

为了提高频谱的利用率,除采用高效率的数字调制技术、正交极化技术(水平、垂直极化公用技术)之外,还广泛使用成形滤波技术,即对发送信号的频谱进行专门加工,使其在消除码间干扰(ISI)和实行最佳检测的前提下,压缩信号频带,提高频谱的利用率。

成形滤波技术,可以在基带进行,也可以在中频(IF)和射频(RF)实现。由于中频和射频信号的频率较高,难以采用数字处理技术,实现的难度较大且不易实现线性最佳化。因此,成形滤波技术通常都是在基带上完成的。

1928年,Nyqulst首先研究了信号传输无失真的条件。后来,人们把它继续向前发展,形成了数字传输系统普遍遵守的三大准则[1][2],这就是Nyuqist准则。Nyuqist准则指出了数字信号在无噪声线性信道上无失真传输的条件。

Nyquist第一准则,又叫做无码间干扰准则,极限情况下可以从理想低通滤波器导出。理想低通滤波器在时域上形成的()t a S波形具有频带利用率高的优点,在无码间干扰的条件下,可以达到最高的频带利用率(2波特/Hz)。但是有两个致命的弱点。第一是理想低通滤波器在频域上的陡峭截止特性难以实现,第二是在时域上,()t a S波形的前导和后尾起伏比较大,衰减缓慢,码间干扰严重,以至于收端定时和实现网络的微小误差都可能导致严重的码间干扰。

为了克服理想低通滤波器的缺点, R.A.Gibby和J.W.Smiht在1965年证明了若将理想低通滤波器的尖锐截止特性按一定规律滚降,同样可以实现信号的无失真传输[3][4]。这种滚降特性不仅容易实现,而且其时域响应波形的前导和收尾起伏小,衰减快,因而在接收端对系统定时和实现网络精度的要求较理想低通滤

其频带利用率只有α

+12波特/Hz(α称为滚降系数,10≤<α)。 1.3 成形滤波器的硬件实现

在数字滤波器面世之前,脉冲整形电路是用模拟滤波器来实现的。不幸的是,模拟滤波器的响应特性受到元件值波动的影响,这种波动由公差范围、温度和老化等参数来标定,因此容易出现感应、杂散效应甚至振荡等现象,同时它的制作和调整较复杂,体积不易缩小,因而模拟成形滤波器只有在早期被使用[5]。与基带模拟成形滤波器相比,基带数字成形滤波器具有高精度、高可靠性、高灵活性的优点,同时,还具有便于大规模集成、易于实现线性相位等特点。因而,在现代数字通信系统中,数字成形技术大多在数字域进行。

数字滤波器是对数字信号实现滤波的线性时不变系统。本质上它是完成从输入到输出过程的特定运算的数字计算机。对这样的计算机,可以有不同的结构形式来描述它。IIR(infinite Impulse Response)和FIR(finite Impulse Response)滤波器构成了数字滤波器的两大类。由于FIR 滤波器有严格的线性相位,其单位冲击响应h(n)是有限长、稳定的,可以通过一些快速算法来实现。在许多实际应用中,通常用FIR 滤波器来实现信号的滤波功能。设计FIR 滤波器常用的方法有窗函数法、频率抽样法、最优等波动法等。

第二章开发环境与工具

2.1FPGA概述

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM 即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

2.2Matlab简介及使用

MATLAB和Mathematica、Maple并称为三大数学软件。它在数学类科技应用软件中在数值计算方面首屈一指。MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。

MATLAB的基本数据单位是矩阵,它的指令表达式与数学、工程中常用的形式十分相似,故用MATLAB来解算问题要比用C,FORTRAN等语言完成相同的事情简捷得多,并且mathwork也吸收了像Maple等软件的优点,使MATLAB

成为一个强大的数学软件。

Simulink是Matlab中的一种可视化仿真工具,广泛应用于线性系统、数字控

制、非线性系统以及数字信号处理的建模和仿真中。Simulink采用模块化建模方

式,每个模块都有自己的输入/输出端口,实现一定的功能。在Simulink中仿真

模型表现为若干个仿真模块的集合以及这些模块之间的连接关系,这就使得仿真

的设计和分析过程变得相对直接便捷。[6]

FDATool(Filter Design & Analysis Tool)是MATLAB信号处理工具箱里专用的滤波器设计分析工具,MATLAB6.0以上的版本还专门增加了滤波器设计工具箱(Filter Design Toolbox)。FDATool可以设计几乎所有的基本的常规滤波器,包括FIR和IIR的各种设计方法。它操作简单,方便灵活。

2.3ISE工具介绍

Xilinx作为当今世界上最大的FPGA/CPLD生产商之一,长期以来一直推动

着FPG刀CPLD技术的发展。其开发的软件也不断升级换代,由早期的Foundation

系列逐步发展到目前的ISE8.2系列。ISE是集成综合环境的简称,它是Xilinx

提供基于FPGA的多相滤波结构研究与实现的一套工具集,其中包括了设计输入

工具、综合工具、仿真工具、实现工具和辅助设计工具等五类,这些工具可以完

成整个FPGA/CPLD的开发过程。

Xilinx System Generate for DSP软件是由Xilinx公司开发的Matlab/Simulink

环境下的一个工具箱,在使用FPGA作为原型平台运行算法时,这一新软件不仅

能够对硬件的真实情况进行仿真,还能够自动生成硬件实现时所需的硬件描述语

言代码。与语言设计方法相比,使用Xilinx System Generate for DSP有三个主要

的优势:第一,图形化操作,简单易用;第二,实现的算发能确保与仿真结果相

符;第三,无需为仿真和实现建立不同的模型。[7]

第三章 成型滤波函数及滤波器硬件结构设计

3.1 无码间干扰传输波形的设计

Nyquist 第一准则指出了在带限情况下,无码间干扰数字传输的充要条件。假设数字信号传输波形为()t s ,其傅里叶变换为()ωS ,码元宽度为T ,当数字信号以T

1波特的码元速率传输时,接收端无码间干扰的充要条件是[8]。 在时域上

()?

??≠==000n n nT s 常数 (3.1)

或者在频域上 ???????=?????

?+<=??????+∑∑∞+-∞=+∞-∞=其他常数n n T n S T T n S 0)2(Im )2(Re πωπωπω (3.2) 这里,Re[],Im[]分别表示取实部和虚部。

.式(3.2)的物理意义是:把()ωS 频率ω轴上切开,以

T 2π为间隔,然后分别平移到(-T π,T

π)区间内,它们叠加的结果,实部为常数,虚部应为零,此时可实现信号无码间干扰的传输。

对数字信号传输来说,并不要求在带限后时域波形保持不变,而只要求在取样判决时刻能准确地恢复出原来数字序列的幅度信息即可。因此,满足(3.1)或者(3.2)式的信号波形()t s 是多种多样的。但是,影响系统传输性能的因素是多方面的。有时,为了使其它方面能较易实现,必须要牺牲一定的误码率。

3.2 成型滤波器

3.2.1 理想的成型滤波器

满足(3.1)式或(3.2)式最简单的成形滤波器是理想低通滤波器,其基带系统的传输特性可用式(3.3)表示

()()???

????>≤=s s eq T f T f f H f H 210211= (3.3) 从式(3.3)看出,该系统愉的频谱宽度为

T 21,时域波形函数为抽样函数Sa(t)。当信号速率为T

1波特时,频谱利用率为2波特/Hz 。这是无码间干扰传输时,频率利用率的极限。图3.1为理想低通函数的时域波形和频域波形图。

图3.1 理想低通滤波函数的时域波形

图3.2 理想低通滤波函数的频域波形

按照式(3.3)和图 3.1,系统传递函数应具有陡峭的截止频率,实际上这是无法实现的,没有任何实际意义。

3.2.2 升余弦滚降器

在移动通信中最普遍的脉冲成形滤波器是升余弦滚降滤波器,其频谱形状满足奈奎斯特准则,且频率响应满足升余弦特性[9][10]:

()()???????>≤≤????

????????-≤≤=d d c c c H ωωαωττωτω04cos 02 (3.4)

式中:

ω是角频率()f π2

τ是脉冲周期

α是滚降因子(roll off factor )

c 是()ταπ-1

d 是()ταπ+1

所谓升余弦特性,就是指将()f H eq 在一定条件下进行平滑,这种平滑的现象通常又称为“滚降”,平滑的程度用系数α来表示。假设滤波器无滚降时的截止频率为1f ,滚降部分的截止频率为2f ,则12f f =α。滚降是指它的频谱过渡特性,而不是波形的形状。

升余弦滚降滤波器的频率响应和冲激响应如下图所示:

图3.3 升余弦频率响应特性

图3.4 升余弦时域响应

升余弦滤波器的响应特性可以通过一个被称为滚降因子(roll off factor )的参数来进行调节,该因子由α来表示,10≤≤α。

在α=0的情况下,频率响应局限于1/20f 。

当α=1,频率响应局限为0f 。

当α在0和1之间时,频率响应被局限在1/20f 和0f 之间

0f 为采样频率。

3.3 FIR 滤波器结构

一般来说,数字脉冲整形滤波器是以有限脉冲响应(finiteimpulse response ,FIR )而不是无限脉冲响应(infinite impulseresponse ,IIR )滤波器形式实现的,这有几个方面的原因。

? 设计FIR 滤波器时可以容易地获得线性的相位响应,这对于那些必须确保恒定群延迟的应用来说非常重要。

? FIR 不会存在极限环的影响,而这是常常困扰IIR 设计的问题。极限环是指,即使除去输入信号后滤波器输出端的某种微小振荡仍然不会消失。

? FIR 滤波器本质上是稳定的,因为它们内部没有反馈。另一方面,IIR 架构则存在反馈通道,因此,其系数的选择将影响到稳定性。事实上,如果没有认真地实现一个无条件稳定的设计,则IIR 滤波器会出现振荡。

因此,本文设计采用FIR 结构滤波器。

3.3.1 直接型结构

设FIR 数字滤波器的单位冲激响应h (n)为一个N 点序列,10-≤≤N n ,则滤波器的系统函数为:

()∑-=-=10)(N n n z n h z H

(3.5)

文献[11]详细论证了FIR 数字滤波器具有线性相位的充要条件是满足下面两个式子之一:

偶对称:()()n N h n h --=1

(3.6) 奇对称:()()n N h n h ---=1

(3.7)

式(3.6)、(3.7)的对称中心在21-=N n 处。 FIR 数字滤波器有直接型、级联型、频率抽样型和快速卷积型等四种基本结构。根据线性相位FIR 数字滤波器系数对称的特点知直接型结构是其最佳选择[11]。

式(3.5)的差分方程表达式为:

()()()∑-=-=10N m m n x m h n y

(3.8)

式(3.8)所对应的滤波器结构就是直接型结构,其中N 为滤波器的阶数,

()m h 为滤波器的系数(由于性能确定的FIR 数字滤波器对应确定的一组系数,所以()m h 为常数),()m n x -为第()m n -个单位时间的采样输入,()n y 为第n 个单位时间的采样输入()n x 对应的输出。其结构方框图如图3.5所示,直接型FIR 数字滤波器的滤波运算包括三种运算方式:①输入采样序列的延时;②常系数乘法;③乘积项求和。滤波器的阶数越高其滤波效果越好但电路越复杂[11][12]。

1-

1-1-

图3.5 直接型FIR 数字滤波器

若()n h 呈现对称特性,即此FIR 滤波器具有线性相位,则可以简化加横截型结构,下面分情况讨论:

1-

1

-(x ()

n y 图3.6 N 为奇数时线形相位FIR 滤波器实现结构

1-

1

-x ()

n y 图3.7 N 为偶数时线性相位FIR 滤波器实现结构

我们还可以对直接型结构进行转置,得到图3.8所示结构:

图3.8 转置式结构示意图

3.3.2 多相滤波结构

在FIR 滤波器中,转移函数为:

()()∑-=-=1

0N n n z n h z H

(3.9)

式中N 为滤波器长度。将冲激响应()n h 按下列的排列分成D 个组,并设N 为D 的整数倍,即Q D N =,Q 为整数,则:

()()()()[]()()()()[]()()()()()[]()()()()()()∑∑∑-=----=---=------------+-----+++++=-+-+??+-+-++-+??++++-+??++=1011011

011)12(11

1)1(110)1()1()0(11121111110Q n n

D D Q n n D Q n n D D D Q D D D Q D D

Q D z D nD h z z nD h z z

nD h z D D Q h z D h z D h z D Q h z D h z h z D Q h z D h z h z H ∶∶∶∶∶∶∶

使: ()()110)(10

-?=+=∑-=-D k z k nD h z

E Q n n D D k ,,, (3.10) 则转移函数为: ()()∑-=-=1

0D k D k k z E z z H (3.11)

()D k z E 称为()z H 的多相分量。公式(4.7)称为转移函数()z H 的多相表示。将公式(3.11)中的z 换成ωj e ,则

()()∑==-=10D k D j k k j j e E e e H ωωω

(3.12)

公式(3.12)中k j e ω-表示不同的k (12,1,0-??=D k )具有不同的相位,所以称为多相滤波结构。如图3.8所示。

图3.9 多相滤波结构

多相滤波的实质可以看作按相位均分的关系把数字滤波器的转移函数()z H 分解成若干个不同相位的组,形成多个分支,在每个分支上实现滤波。这样做的目的就是用其分支上阶数较少的滤波来实现原来阶数很大的()z H 的滤波。这样做的意义在于工程上易于实现,能高效的进行实时信号的处理。

3.3.3 过采样 过采样是使用远大于奈奎斯特采样频率的频率对输入信号进行采样。设数字音频系统原来的采样频率为s f ,通常为4

4.1kHz 或48kHz 。若将采样频率提高到s f R ?,R 称为过采样比率,并且1>R 。在这种采样的数字信号中,由于量化比特数没有改变,故总的量化噪声功率也不变,但这时量化噪声的频谱分布发生了变化,即将原来均匀分布在2~0s f 频带内的量化噪声分散到了2~0s Rf 的频带上。

若1>>R ,则2s Rf 就远大于音频信号的最高频率m f ,这使得量化噪声大部分分布在音频频带之外的高频区域,而分布在音频频带之内的量化噪声就会相应的减少,于是,通过低通滤波器滤掉m f 以上的噪声分量,就可以提高系统的信噪比。这时,过采样系统的最大量化信噪比为:

()dB m s dB f Rf n N S 2lg 1076.102.64++≈???? ??

(3.13)

式中m f 为音频信号的最高频率,Rf 为过采样频率,n 为量化比特数。 从上式可以看出,在过采样时,采样频率每提高一倍,则系统的信噪比提高3dB ,换言之,相当于量化比特数增加了0.5个比特。由此可看出提高过采样比率可提高A/D 转换器的精度。

3.4 窗函数法设计FIR 滤波器

FIR 数字滤波器设计方法的基础就是要求所设计的滤波器频率响应逼近性能指标要求的频率响应,窗函数设计法是FIR 数字滤波器设计方法之中最常用的设计方法之一。

用窗函数设计FIR 数字滤波器时,一般先要给出所要求的理想滤波器频率响应()ωj d e H ,通过设计()()∑-=-=10N n n j j e n h e H ωω

来逼近()

ωj d e H 。 由于设计是在时域进行的,所以需通过傅里叶反变换导出()n h d ,即

()()?-=ππωωωπd e e H n h n j j d d 21

(3.14)

()n h d 是个无限长的序列。对FIR 数字滤波器而言,其单位冲激响应()n h 是有限长的,所以要()n h 逼近()n h d ,可用一个有限长度的窗口函数序列()n ω来截断()n h d ,即

()()()n h n n h d ω= (3.15)

()n ω即所谓的窗函数,它为有限长序列。

按照复卷积公式,在时域相乘,则频域上是周期卷积关系,即

()()()()θπππθωθωd e W e H e H f j d j ?--=21

(3.16)

因而()ωj e H 逼近()ωj d e H 的好坏完全取决于窗函数的频率特性()ωj e W 。窗函数()n ω的频率特性()

ωj e W 为:

()()∑-=-=10N n n j j e n e W ωω

ω (3.17)

常用的窗函数有:矩形窗、三角窗、汉宁(hanning )窗、海明(hamming )窗、布莱克曼(Blackman )窗、凯塞(Kaiser )窗。

过渡带宽取决于矩形窗函数频率响应的主瓣宽度,好的FIR 选频滤波器过渡带要尽量陡。当旁瓣相对值尽可能小,数量尽可能少时,才可以得到大的阻带衰减,满足工程上的需求,所以一般希望窗函数满足以下两项要求[11]:

1、窗谱主瓣尽可能的窄,以获得较陡的过渡带;

2、尽量减少窗谱的最大旁瓣的相对幅度,也就是能量尽量集中于主瓣,这样使肩峰和波纹减小,增大阻带的衰减。

设计FIR 数字滤波器时可以参考表3.1的指标来选择窗函数。

表3.1 六种窗函数基本参数比较[11]

取滤波器阶数为8,采样频率为1000Hz ,截止频率为200Hz ,滚将系数为0.35,通过FDATool 查看各窗函数的幅频响应:

图3.10(a)矩形窗

图3.10(b)三角窗

图3.10(c)汉宁窗

图3.10(d)海明窗

图3.10(e)布莱克曼窗

β

图3.10(f)凯泽窗().50=本文中选用海明窗。

滤波器设计步骤及实现程序

数字滤波器的设计步骤及程序实现 湖南理工学院信息与通信工程学院 一、IIR 脉冲响应不变法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=pi, T /ω=Ω 3、求原型模拟滤波器的c N Ω,,其中:??? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/)()( 6、将)(s H a 化为部分分式展开形式∑-=k k a s s A s H )( 7、写出)(z H 的极点T s k k e z =,并写出)(z H 的部分分式展开形式∑--?= 11)(z z A T z H k k 8、将)(z H 化为分子分母形式,验证设计结果。 二、IIR 双线性变换法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=2, 2 tan 2ω?= ΩT 3、求原型模拟滤波器的c N Ω,,其中:?? ? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/) ()( 6、用11 112--+-?=Z Z T s 代入原型系统函数)(s H a 得1 1 112)()(--+-? ==Z Z T s a s H z H 8、将)(z H 整理成分子分母形式,验证设计结果。

FIR数字滤波器设计与使用

实验报告 课程名称:数字信号处理指导老师:刘英成绩:_________________实验名称: FIR数字滤波器设计与使用同组学生姓名:__________ 一、实验目的和要求 设计和应用FIR低通滤波器。掌握FIR数字滤波器的窗函数设计法,了解设计参数(窗型、窗长)的影响。 二、实验内容和步骤 编写MATLAB程序,完成以下工作。 2-1 设计两个FIR低通滤波器,截止频率 C =0.5。 (1)用矩形窗,窗长N=41。得出第一个滤波器的单位抽样响应序列h 1(n)。记下h 1 (n) 的各个抽样值,显示h 1 (n)的图形(用stem(.))。求出该滤波器的频率响应(的N 个抽样)H 1(k),显示|H 1 (k)|的图形(用plot(.))。 (2)用汉明窗,窗长N=41。得出第二个滤波器的单位抽样响应序列h 2(n)。记下h 2 (n) 的各个抽样值,显示h 2(n)的图形。求出滤波器的频率响应H 2 (k),显示|H 2 (k)|的 图形。 (3)由图形,比较h 1(n)与h 2 (n)的差异,|H 1 (k)|与|H 2 (k)|的差异。 2-2 产生长度为200点、均值为零的随机信号序列x(n)(用rand(1,200)0.5)。显示x(n)。 求出并显示其幅度谱|X(k)|,观察特征。 2-3 滤波 (1)将x(n)作为输入,经过第一个滤波器后的输出序列记为y 1(n),其幅度谱记为|Y 1 (k)|。 显示|X(k)|与|Y 1 (k)|,讨论滤波前后信号的频谱特征。 (2)将x(n)作为输入,经过第二个滤波器后的输出序列记为y 2(n),其幅度谱记为|Y 2 (k)|。 比较|Y 1(k)|与|Y 2 (k)|的图形,讨论不同的窗函数设计出的滤波器的滤波效果。 2-4 设计第三个FIR低通滤波器,截止频率 C =0.5。用矩形窗,窗长N=127。用它对x(n)进行滤波。显示输出信号y

巴特沃斯数字低通滤波器

目录 1.题目.......................................................................................... .2 2.要求 (2) 3.设计原理 (2) 3.1 数字滤波器基本概念 (2) 3.2 数字滤波器工作原理 (2) 3.3 巴特沃斯滤波器设计原理 (2) 3.4脉冲响应不法 (4) 3.5实验所用MA TLAB函数说明 (5) 4.设计思路 (6) 5、实验内容 (6) 5.1实验程序 (6) 5.2实验结果分析 (10) 6.心得体会 (10) 7.参考文献 (10)

一、题目:巴特沃斯数字低通滤波器 二、要求:利用脉冲响应不变法设计巴特沃斯数字低通滤波器,通带截止频率100HZ,采样频率1000HZ ,通带最大衰减为0.5HZ ,阻带最小衰减为10HZ ,画出幅频、相频相应相应曲线。并假设一个信号x(t)=sin(2*pi*f1*t)+sin(2*pi*f2*t),其中f1=50HZ,f2=200HZ 。用此信号验证滤波器设计的正确性。 三、设计原理 1、数字滤波器的基本概念 所谓数字滤波器,是指输入、输出均为数字信号,通过数值运算处理改变输入信号所含频率成分的相对比例,或者滤波器除某些频率成分的数字器件或程序,因此,数字滤波的概念和模拟滤波相同,只是的形式和实现滤波方法不同。正因为数字滤波通过数值运算实现滤波,所以数字滤波处理精度高、稳定、体积小、质量轻、灵活、不存在阻抗匹配问题,可以实验模拟滤波器无法实现的特殊滤波功能。如果要处理的是模拟信号,可通过A\DC 和D\AC,在信号形式上进行匹配转换,同样可以使用数字滤波器对模拟信号进行滤波。 2、数字滤波器的工作原理 数字滤波器是一个离散时间系统,输入x(n)是一个时间序列,输出y(n)也是一个时间序列。如数字滤波器的系统函数为H(Z),其脉冲响应为h(n),则在时间域内存在下列关系 y(n)=x(n) h(n) 在Z 域内,输入输出存在下列关系 Y(Z)=H(Z)X(Z) 式中,X(Z),Y(Z)分别为输入x(n)和输出y(n)的Z 变换。 同样在频率域内,输入和输出存在下列关系 Y(jw)=X(jw)H(jw) 式中,H(jw)为数字滤波器的频率特性,X(jw)和Y(jw)分别为x(n)和y(n)的频谱。w 为数字角频率,单位rad 。通常设计H(jw)在某些频段的响应值为1,在某些频段的响应为0.X(jw)和H(jw)的乘积在频率响应为1的那些频段的值仍为X(jw),即在这些频段的振幅可以无阻碍地通过滤波器,这些频带为通带。X(jw)和H(jw)的乘积在频段响应为0的那些频段的值不管X(jw)大小如何均为零,即在这些频段里的振幅不能通过滤波器,这些频带称为阻带。 一个合适的数字滤波器系统函数H(Z)可以根据需要输入x(n)的频率特性,经数字滤波器处理后的信号y(n)保留信号x(n)中的有用频率成分,去除无用频率成分。 3、巴特沃斯滤波器设计原理 (1)基本性质 巴特沃斯滤波器以巴特沃斯函数来近似滤波器的系统函数。巴特沃斯滤波器是根据幅频特性在通频带内具有最平坦特性定义的滤波器。 巴特沃思滤波器的低通模平方函数表示1 () ΩΩ+ =Ωc N /22 a 11 ) (j H

数字滤波器的MATLAB设计与DSP上的实现

数字滤波器的MAT LAB设计与 DSP上的实现 数字滤波器的MATLAB 设计与DSP上的实现 公文易文秘资源网佚名2007-11-15 11:56:42我要投稿添加到百度搜藏 摘要:以窗函数法设计线性相位FIR数字滤波器为例,介绍用MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在CCS5000仿真开发,然后将程序加载到TMS320VC5409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实现的关键问题。关键词 摘要:以窗函数法设计线性相位 FIR数字滤波器为例,介绍用 MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在 CCS5000仿真开发,然后将程序加载到 TMS320VC5 409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实 现的关键问题。 关键词:数字滤波器MATLAB DSP 引言 随着信息时代和数字世界的到来,数字信号处理已成为今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应

用。在数字信号处理应用中,数字滤波器十分重要并已获得广泛应用。 1数字滤波器的设计 1.1数字滤波器设计的基本步骤 数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR )滤波器和有限长冲激响应(FIR )滤波器。IIR滤波器的特征是,具有无限持续时间冲激响应。种滤波器一般需要用递归模型来实现,因而有时也称之为递归滤波器。FIR滤波器的冲激响应只能延续一定时间, 在工程实际中可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计方法有多种,如双线性变换法、窗函数设计法、插值逼近法和Chebyshev逼近法等等。随着 MATLAB软件尤 其是MATLAB的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使设计达到最优化。 数字滤波器设计的基本步骤如下: (1确定指标 在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,数字滤波器常常被用来实现选频操作。因此,指标的形式一般在频域中给岀幅度和相位响应。幅度指标主要以两种方式给岀。第一种是绝对指标。它提供对幅度响应函数的要求,一般应用于FI R滤波器的设计。第二种指标是相对指标。它以分贝值的形式给岀要求。在工程实际中,这种指标最受欢迎。对于相位响应指标形式,通常希望系统在通频带中人有线性相位。运用线性相位响应指标进行滤波器设计具有如下优点:①只包含实数算法,不涉及复数运算;②不存在延迟失真,只有固定数量的延迟;③长度为N的滤波器(阶数为N-1),计算量为N/2数量级。因此,本文中滤波器的设计就以线性相位FIR滤波器的设计为例。 (2)逼近

IIR数字滤波器设计原理

IIR 数字滤波器设计原理 利用双线性变换设计IIR 滤波器(只介绍巴特沃斯数字低通滤波器的设计),首先要设计出满足指标要求的模拟滤波器的传递函数)(s H a ,然后由)(s H a 通过双线性变换可得所要设计的IIR 滤波器的系统函数)(z H 。 如果给定的指标为数字滤波器的指标,则首先要转换成模拟滤波器的技术指标,这里主要是边界频率 s p w w 和的转换,对s p αα和指标不作变化。边界频率的转换关系为)21tan(2w T =Ω。接着,按照模拟低通滤波器的技术指标根据相应 设计公式求出滤波器的阶数N 和dB 3截止频率c Ω;根据阶数N 查巴特沃斯归一 化低通滤波器参数表,得到归一化传输函数 )(p H a ;最后,将c s p Ω=代入)(p H a 去归一,得到实际的模拟滤波器传输函数)(s H a 。之后,通过双线性变换法转换公式 11 112--+-=z z T s ,得到所要设计的IIR 滤波器的系统函数)(z H 。 步骤及内容 1) 用双线性变换法设计一个巴特沃斯IIR 低通数字滤波器。设计指标参数为: 在通带内频率低于π2.0时,最大衰减小于dB 1;在阻带内[]ππ,3.0频率区间上,最小衰减大于dB 15。 2) 以π02.0为采样间隔,绘制出数字滤波器在频率区间[]2/,0π上的幅频响应特 性曲线。 3) 程序及图形 程序及实验结果如下: %%%%%%%%%%%%%%%%%%

%iir_1.m %lskyp %%%%%%%%%%%%%%%%%% rp=1;rs=15; wp=.2*pi;ws=.3*pi; wap=tan(wp/2);was=tan(ws/2); [n,wn]=buttord(wap,was,rp,rs,'s'); [z,p,k]=buttap(n); [bp,ap]=zp2tf(z,p,k); [bs,as]=lp2lp(bp,ap,wap); [bz,az]=bilinear(bs,as,.5); [h,f]=freqz(bz,az,256,1); plot(f,abs(h)); title('双线性z 变换法获得数字低通滤波器,归一化频率轴'); xlabel('\omega/2\pi'); ylabel('低通滤波器的幅频相应');grid; figure; [h,f]=freqz(bz,az,256,100); ff=2*pi*f/100; absh=abs(h); plot(ff(1:128),absh(1:128)); title('双线性z 变换法获得数字低通滤波器,频率轴取[0,\pi/2]'); xlabel('\omega'); ylabel('低通滤波器的幅频相应');grid on; 运行结果: 00.050.10.150.20.25 0.30.350.40.450.500.1 0.2 0.3 0.40.50.60.70.8 0.9 1 双线性z 变换法获得数字低通滤波器,归一化频率轴 ω/2π低通滤波器的幅频相应

IIR数字滤波器的设计流程图讲课讲稿

目录 目录 0 前言 (1) 1.1数字滤波器简介 (1) 1.2使用数字滤波器的原因 (1) 1.3设计的原理和内容 (1) 工程概况 (2) 正文 (2) 3.1 设计的目的和意义 (2) 3.2 目标和总体方案 (2) 3.3 设计方法和内容 (3) 3.4 硬件环境 (3) 3.5软件环境 (3) 3.6IIR数字滤波器设计思路 (3) 3.7 IIR数字滤波器的设计流程图 (3) 3.8 IIR数字滤波器设计思路 (4) 3.9设计IIR数字滤波器的两种方法 (4) 3.10双线性变换法的基本原理 (5) 3.11用双线性变换法设计IIR数字滤波器的步骤 (6) 3.12程序源代码和运行结果 (6) 3.12.1低通滤波器 (6) 3.12.3带通滤波器 (10) 3.12.4带阻滤波器 (13) 3.13结论 (15) 3.13.1存在的问题 (15) 3.13.2解决方案 (16) 致谢 (16)

参考文献 (16) 前言 1.1数字滤波器简介 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。可以设计系统的频率响应,让它满足一定的要求,从而对通过该系统的信号的某些特定的频率成分进行过滤,这就是滤波器的基本原理。如果系统是一个连续系统,则滤波器称为模拟滤波器。如果系统是一个离散系统,则滤波器称为数字滤波器。 信号通过线性系统后,其输出信号就是输入信号和系统冲激响应的卷积。从频域分析来看,信号通过线性系统后,输出信号的频谱将是输入信号的频谱与系统传递函数的乘积。除非为常数,否则输出信号的频谱将不同于输入信号的频谱,某些频率成分较大的模,因此,中这些频率成分将得到加强,而另外一些频率成分的模很小甚至为零,中这部分频率分量将被削弱或消失。因此,系统的作用相当于对输入信号的频谱进行加权。 1.2使用数字滤波器的原因 数字滤波器具有比模拟滤波器更高的精度,甚至能够实现后者在理论上也无法达到的性能。数字滤波器相比模拟滤波器有更高的信噪比。数字滤波器还具有模拟滤波器不能比拟的可靠性。根据其冲击响应函数的时域特性可将数字滤波器分为IIR(有限长冲击响应)和FIR(无限长冲击响应)。 1.3设计的原理和内容 在windows环境下进行语言信号采集,通过IIR数字滤泼器的设计,数字带滤波器就是用软件来实现上面的滤波过程,可以很好的克服模拟滤波器的缺点,数字带滤波器的参数一旦确定,就不会发生变化。IIR型有较好的通带与阻带特性,所以,在一般的设计中选用IIR 型。IIR型又可以分成Butterworth型滤波器,ChebyshevII型滤波器和椭圆型滤波器等。 IIR数字滤波器的设计一般是利用目前已经很成熟的模拟滤波器的设计方法来进行设计,通常采用模拟滤波器原型有butterworth函数、chebyshev函数、bessel函数、椭圆滤波器函数等。 IIR数字滤波器的设计步骤: (1)按照一定规则把给定的滤波器技术指标转换为模拟低通滤波器的技术指标; (2)根据模拟滤波器技术指标设计为响应的模拟低通滤波器; (3)很据脉冲响应不变法和双线性不变法把模拟滤波器转换为数字滤波器;

数字滤波器设计与分析

吉林大学仪器科学与电气工程学院本科生实习报告 实习题目:信号分析和处理 实习时间:2012.09 专业:电气工程及其自动化 所在班级:65100615 学生姓名:王双伟 指导教师:朱凯光田宝凤林婷婷

信号实习报告 一.实验目的 加深对信号系统与信号处理理论的理解,学会信号处理的基本知识和方法,并在基本技能方面得到系统训练;熟悉MA TLAB编程环境,掌握MA TLAB编程基本技能,以及程序调试仿真方法,能够采用MATLAB语言和工具进行信号处理;掌握现代信号分析与处理技术,包括信号频谱分析和数字滤波器(FIR、IIR)设计,学会信号处理系统设计与系统功能检测的基本方法;将理论知识与实际应用结合,提高学生解决实际问题的动手能力,为信号系统与信号处理知识的应用、后续专业学习以及今后从事相关科学研究和实际工作打下坚实基础。二.实验工具 计算机,matlab软件 三.实验内容 设计FIR数字带通滤波器,对于给定函数s=sin(2πx100t)+sin(2πx200t)+sin(2πx400t),设计带通滤波器滤除100和400赫兹的频率,并画出滤波前后的时频图及滤波器的增益图。 f1=100;f2=200;f3=400; fs=2000; m=(0.3*f1)/(fs/2); M=round(8/m); N=M-1; fc=[0.15,0.3]; b=fir1(N,fc); figure(1) [h,f]=freqz(b,1,1000); plot(f*fs/(2*pi),20*log10(abs(h))) xlabel('频率/赫兹'); ylabel('增益/分贝'); title('滤波器的增益响应'); figure(2) subplot(211) t=0:1/fs:0.5; s=sin(2*pi*f1*t)+sin(2*pi*f2*t)+sin(2*pi*f3*t); plot(t,s); xlabel('时间/秒'); ylabel('幅度'); title('信号滤波前时域图');

切比雪夫1型数字低通滤波器

目录 1. 数字滤波器的设计任务及要求 (2) 2. 数字滤波器的设计及仿真 (2) 2.1数字滤波器的设计 (3) 2.2数字滤波器的性能分析 (3) 3. 数字滤波器的实现结构对其性能影响的分析 (8) 3.1数字滤波器的实现结构一及其幅频响应 (10) 3.2数字滤波器的实现结构二及其幅频响应 (12) 3.3 数字滤波器的实现结构对其性能影响的小结 (12) 4. 数字滤波器的参数字长对其性能影响的分析 (13) 4.1数字滤波器的实现结构一参数字长及幅频响应特性变化 4.2数字滤波器的实现结构二参数字长及幅频响应特性变化 4.3 数字滤波器的参数字长对其性能影响的小结 (16) 5. 结论及体会 (16) 5.1 滤波器设计、分析结论 (16) 5.2 我的体会 (16) 5.3 展望 (16)

1.数字滤波器的设计任务及要求 1. 设计说明 每位同学抽签得到一个四位数,由该四位数索引下表确定待设计数字滤波器的类型及其设计方法,然后用指定的设计方法完成滤波器设计。 要求:滤波器的设计指标: 低通: (1)通带截止频率πrad (id) pc 32 ln = ω (2)过渡带宽度πrad ) (i d 160 10log tz ≤?ω (3)滚降dB αroll 60= 其中,i d — 抽签得到那个四位数(学号的最末四位数),本设计中i d =0201。 2. 滤波器的初始设计通过手工计算完成; 3. 在计算机辅助计算基础上分析滤波器结构对其性能指标的影响(至少选择两种以上合适的滤波器 结构进行分析); 4. 在计算机辅助计算基础上分析滤波器参数的字长对其性能指标的影响; 5. 以上各项要有理论分析和推导、原程序以及表示计算结果的图表; 6. 课程设计结束时提交设计说明书。 2.数字滤波器的设计及仿真 2.1数字滤波器(编号0201)的设计 数字滤波器是数字信号处理的重要工具之一,它通过数值运算处理改变输入信号所含频率成分的相对比例或者滤出某些频率成分的数字器件或程序,而数字滤波器处理精度高、体积小、稳定、重量轻、灵活、不存在阻抗匹配问题,可以实现模拟滤波器无法实现的特殊功能。 本次课程设计使用MATLAB 信号处理箱和运用切比雪夫法设计数字滤波器,将手工计算一个切比雪夫I 型的IIR 的低通模拟滤波器的系统函数,并在MATLAB 的FDATool 设计工具分析其性能指标。

(整理)数字滤波器的设计

模拟滤波器到数字滤波器的转换 一、脉冲响应不变法设计IIR数字滤波器 impinvar 功能:用脉冲响应不变法实现模拟到数字的滤波器变换。 调用格式: [bd,ad]=impinvar(b,a,Fs);将模拟滤波器系数b,a变换成数字的滤波器系数bd,ad,两者的冲激响应不变。 [bd,ad]=impinvar(b,a);采用Fs的缺省值1Hz. 例:采用脉冲响应不变法设计一个切比雪夫I型数字带通滤波器,要求:通带w p1=0.3pi, W p2=0.7pi, R p=1dB, 阻带w s1=0.1pi, W s2=0.9pi, A s=15dB, 滤波器采样频率为 F s=2000Hz. Matlab程序: %数字滤波器指标 w p1=0.3*pi; w p2=0.7*pi; w s1=0.1*pi; w s2=0.9*pi; R p=1; A s=15; %转换为模拟滤波器指标 Fs=2000; T=1/Fs; Omgp1=wp1*Fs; Omgp2=wp2*Fs; %模拟滤波器的通带截止频率 Omgp=[Omgp1,Omgp2]; Omgs1=ws1*Fs; Omgs2=ws2*Fs; %模拟滤波器的阻带截止频率 Omgs=[Omgs1,Omgs2]; Bw=Omgp2-Omgp1; w0=sqrt(Omgp1*Omgp2); %模拟通带带宽和中心频率 %模拟原型滤波器计算 [n,omgn]=cheb1ord(omgp,Omgs,Rp,As,’s’); [z0,p0,k0]=cheb1ap(n,Rp); %设计归一化的模拟原型滤波器(zpk模型) ba1=k0*real(poly(z0)); %求原型滤波器系统函数分子系数b aa1=real(poly(p0)); %求原型滤波器系统函数分母系数a [ba,aa]=lp2bp(ba1,aa1,w0,bw); %变换为模拟带通滤波器 %用脉冲响应不变法计算数字滤波器系数 [bd,ad]=impinvar(ba,aa,Fs); %求数字系统的频率特性 [H,w]=freqz(bd,ad); dbH=20*log10((abs(H)+eps)/max(abs(H))); %将幅度化为分贝值 %作图 subplot(2,2,3),plot(w/pi,dbH); axis([0,1,-50,1]); title('实际带通相对幅度'); ylabel('dB');xlabel('数字频率(w/pi)'); set(gca,'Xtick',[0,wp1/pi,ws1/pi,wp2/pi,ws2/pi,1]); set(gca,'Ytick',[-50,-20,-3,-1]); grid subplot(2,2,4),plot(w/pi, angle(H)/pi*180); axis([0,1,-200,200]);title('实际数字带通相位');

简单低通滤波器设计及matlab仿真

东北大学 研究生考试试卷 考试科目: 课程编号: 阅卷人: 考试日期: 姓名:xl 学号: 注意事项 1.考前研究生将上述项目填写清楚. 2.字迹要清楚,保持卷面清洁. 3.交卷时请将本试卷和题签一起上交. 4.课程考试后二周内授课教师完成评卷工作,公共课成绩单与试卷交研究生院培养办公室, 专业课成绩单与试卷交各学院,各学院把成绩单交研究生院培养办公室. 东北大学研究生院培养办公室

数字滤波器设计 技术指标: 通带最大衰减: =3dB , 通带边界频率: =100Hz 阻带最小衰减: =20dB 阻带边界频率: =200Hz 采样频率:Fs=200Hz 目标: 1、根据性能指标设计一个巴特沃斯低通模拟滤波器。 2、通过双线性变换将该模拟滤波器转变为数字滤波器。 原理: 一、模拟滤波器设计 每一个滤波器的频率范围将直接取决于应用目的,因此必然是千差万别。为了使设计规范化,需要将滤波器的频率参数作归一化处理。设所给的实际频 率为Ω(或f ),归一化后的频率为λ,对低通模拟滤波器令λ=p ΩΩ/,则1 =p λ, p s s ΩΩ=/λ。令归一化复数变量为p ,λj p =,则p p s j j p Ω=ΩΩ==//λ。所以巴 特沃思模拟低通滤波器的设计可按以下三个步骤来进行。 (1)将实际频率Ω规一化 (2)求Ωc 和N 11010/2-=P C α s p s N λααlg 1 10 110lg 10 /10/--= 这样Ωc 和N 可求。 p x fp s x s f

根据滤波器设计要求=3dB ,则C =1,这样巴特沃思滤波器的设计就只剩一个参数N ,这时 N p N j G 222 )/(11 11)(ΩΩ+= += λλ (3)确定)(s G 因为λj p =,根据上面公式有 N N N p j p p G p G 22)1(11 )/(11)()(-+= += - 由 0)1(12=-+N N p 解得 )221 2exp(πN N k j p k -+=,k =1,2, (2) 这样可得 1 )21 2cos(21 ) )((1 )(21+-+-= --= -+πN N k p p p p p p p G k N k k 求得)(p G 后,用p s Ω/代替变量p ,即得实际需要得)(s G 。 二、双线性变换法 双线性变换法是将s 平面压缩变换到某一中介1s 平面的一条横带里,再通过标准变换关系)*1exp(T s z =将此带变换到整个z 平面上去,这样就使s 平面与z 平面之间建立一一对应的单值关系,消除了多值变换性。 为了将s 平面的Ωj 轴压缩到1s 平面的1Ωj 轴上的pi -到pi 一段上,可以通过以下的正切变换来实现: )21 tan(21T T Ω= Ω 这样当1Ω由T pi -经0变化到T pi 时,Ω由∞-经过0变化到∞+,也映射到了整个Ωj 轴。将这个关系延拓到整个s 平面和1s 平面,则可以得到

数字滤波器设计步骤

数字信号处理 数字滤波器的设计 学院计算机与电子信息学院 专业电子信息科学与技术班级电子15-2 班姓名学号 指导教师刘利民

数字滤波器的设计 一、模拟低通滤波器的设计方法 1、B utterw orth 滤波器设计步骤: ⑴。确定阶次N ① 已知Ωc 、Ωs 和As 求Bu tt er worth DF 阶数N ② 已知Ωc 、Ωs 和Ω=Ωp (3dB p Ω≠-)的衰减A p 求Bu tterwort h DF 阶数N ③ 已知Ωp、Ωs和Ω=Ωp 的衰减A p 和As 求B utte rwo rth DF 阶数N /10 /1022(/)101,(/)101p s A A N N p c s c ΩΩ=-ΩΩ=-则:

⑵.用阶次N 确定 ()a H s 根据公式: 1,2,2N ()()a a H s H s -在左半平面的极点即为()a H s 的极点,因而 2,,N 2、切比雪夫低通滤波器设计步骤: ⑴.确定技术指标p Ω p α s Ω s α 归一化: /1p p p λ=ΩΩ= /s s p λ=ΩΩ ⑵.根据技术指标求出滤波器阶数N 及ε: 0.12 10 1δε=- p δα= ⑶.求出归一化系统函数 其中极点由下式求出:

或者由N 和S直接查表得()a H p 二、数字低通滤波器的设计步骤: 1、 确定数字低通滤波器的技术指标:通带截止频率p ω、通带最大衰减系数 p α、 阻带截止频率ω、阻带最小衰减系数s α。 2、 将数字低通滤波器的技术指标转换成模拟低通滤波器的技术指标。 巴特沃斯: 切比雪夫:/s s p λ=ΩΩ 0.1210 1δ ε=- p δα=

FIR数字滤波器设计及软件实现

实验五:FIR数字滤波器设计及软件实现 一、实验目的: (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 二、实验容及步骤: (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 友情提示: ○1MATLAB函数fir1和fftfilt的功能及其调用格式请查阅本课本;

○ 2采样频率Fs=1000Hz ,采样周期T=1/Fs ; ○ 3根据图10.6.1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz ,阻带截至频率fs=150Hz ,换算成数字频率,通带截止频率 p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率s 20.3s f ωπ=T =π,阻带最小衰为60dB 。] ○ 4实验程序框图如图2所示。 图2 实验程序框图 三、实验程序: 1、信号产生函数xtg 程序清单: %xt=xtg(N) 产生一个长度为N,有加性高频噪声的单频调幅信号xt,采样频率Fs=1000Hz %载波频率fc=Fs/10=100Hz,调制正弦波频率f0=fc/10=10Hz. function xt=xtg N=1000;Fs=1000;T=1/Fs;Tp=N*T; t=0:T:(N-1)*T; fc=Fs/10;f0=fc/10; %载波频率fc=Fs/10,单频调制信号频率为f0=Fc/10;

脉冲响应不变法设计数字低通滤波器

燕山大学 课程设计说明书 题目:脉冲响应不变法设计数字低通滤波器 学院(系):电气工程学院 年级专业:09级精密仪器及机械2班 学号: 0901******** 学生姓名:范程灏 指导教师:刘永红 教师职称:讲师

电气工程学院《课程设计》任务书 课程名称:数字信号处理课程设计 基层教学单位:仪器科学与工程系指导教师: 学号学生姓名(专业)班级设计题目7、脉冲响应不变法设计数字低通滤波器 设 计技术参数给定技术指标为:Hz f p 100 =,Hz f s 300 =,dB p 3 = α,dB s 20 = α,采样频率Hz F s 1000 =。 设 计 要 求 设计Butterworth低通滤波器,用脉冲响应不变法转换成数字滤波器。 参考资料数字信号处理方面资料MATLAB方面资料 周次前半周后半周 应完成内容收集消化资料、学习MA TLAB软件, 进行相关参数计算 编写仿真程序、调试 指导教师签字基层教学单位主任签字

目录 第1章前言 (3) 第2章数字信号处理部分基础知识 (3) 第3章 MATLAB部分基础知识 (8) 3.1 MATLAB介绍 (8) 3.2 MATLAB命令介绍 (8) 第4章仿真过程及仿真图 (9) 4.1 仿真程序 (9) 4.2 仿真波形 (10) 第5章设计结论 (10) 第6章参考文献 (11)

第一章 前言 《数字信号处理》课程设计是在学生完成数字信号处理和MATLAB 的结合后的基本实验以后开设的。本课程设计的目的是为了让学生综合数字信号处理和MATLAB 并实现一个较为完整的小型滤波系统。这一点与验证性的基本实验有本质性的区别。开设课程设计环节的主要目的是通过系统设计、软件仿真、程序安排与调试、写实习报告等步骤,使学生初步掌握工程设计的具体步骤和方法,提高分析问题和解决问题的能力,提高实际应用水平。 IIR 数字滤波器具有无限宽的冲激响应,与模拟滤波器相匹配,所以IIR 滤波器的设计可以采取在模拟滤波器设计的基础上进一步变换的方法。其设计方法主要有经典设计法、直接设计法和最大平滑滤波器设计法。FIR 数字滤波器的单位脉冲响应是有限长序列。它的设计问题实质上是确定能满足所要求的转移序列或脉冲响应的常数问题,设计方法主要有窗函数法、频率采样法和等波纹最佳逼近法等。 第2章 数字信号处理基础知识部分 2.1巴特沃斯滤波器的幅度平方函数及其特点 巴特沃斯模拟滤波器幅度平方函数的形式是 )N c N c a j j j H 222 )/(11 )/(11ΩΩ+= ΩΩ+= Ω (5-6)

FIR数字滤波器设计与软件实现(精)讲解学习

实验二:FIR 数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1掌握用窗函数法设计 FIR 数字滤波器的原理和方法。 (2掌握用等波纹最佳逼近法设计 FIR 数字滤波器的原理和方法。 (3掌握 FIR 滤波器的快速卷积实现原理。 (4学会调用 MA TLAB 函数设计与实现 FIR 滤波器。 2. 实验内容及步骤 (1认真复习第七章中用窗函数法和等波纹最佳逼近法设计 FIR 数字滤波器的原理; (2调用信号产生函数 xtg 产生具有加性噪声的信号 xt ,并自动显示 xt 及其频谱,如图 1所示;

图 1 具有加性噪声的信号 x(t及其频谱如图 (3请设计低通滤波器,从高频噪声中提取 xt 中的单频调幅信号,要求信号幅频失真小于 0.1dB ,将噪声频谱衰减 60dB 。先观察 xt 的频谱,确定滤波器指标参数。 (4根据滤波器指标选择合适的窗函数,计算窗函数的长度 N ,调用 MATLAB 函数 fir1设计一个 FIR 低通滤波器。并编写程序,调用 MATLAB 快速卷积函数 fftfilt 实现对 xt 的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (5 重复 (3 , 滤波器指标不变, 但改用等波纹最佳逼近法, 调用MA TLAB 函数 remezord 和 remez 设计 FIR 数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○ 1MA TLAB 函数 fir1的功能及其调用格式请查阅教材; ○ 2采样频率 Fs=1000Hz,采样周期 T=1/Fs;

○ 3根据图 1(b和实验要求,可选择滤波器指标参数:通带截止频率 fp=120Hz,阻带截 至频率 fs=150Hz, 换算成数字频率, 通带截止频率 p 20.24 p f ωπ =T=π, 通带最大衰为 0.1dB , 阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为 60dB 。 3、实验程序框图如图 2所示,供读者参考。 图 2 实验程序框图 4.信号产生函数 xtg 程序清单(见教材 二、滤波器参数及实验程序清单 1、滤波器参数选取 根据实验指导的提示③选择滤波器指标参数: 通带截止频率 fp=120Hz,阻带截至频率 fs=150Hz。代入采样频率 Fs=1000Hz,换算成 数字频率,通带截止频率 p 20.24 p f

设计数字低通滤波器(用matlab实现)

DSP 设计滤波器报告 姓名:张胜男 班级:07级电信(1)班 学号:078319120 一·低通滤波器的设计 (一)实验目的:掌握IIR 数字低通滤波器的设计方法。 (二)实验原理: 1、滤波器的分类 滤波器分两大类:经典滤波器和现代滤波器。 经典滤波器是假定输入信号)(n x 中的有用成分和希望取出的成分各自占有不同的频带。这样,当)(n x 通过一个线性系统(即滤波器)后可讲欲去除的成分有效的去除。 现代滤波器理论研究的主要内容是从含有噪声的数据记录(又称时间序列)中估计出信号的某些特征或信号本身。 经典滤波器分为低通、高通、带通、带阻滤波器。每一种又有模拟滤波器(AF )和数字滤波器(DF )。对数字滤波器,又有IIR 滤波器和FIR 滤波器。 IIR DF 的转移函数是: ∑∑=-=-+==N k k k M r r r z a z b z X z Y z H 10 1)()()( FIR DF 的转移函数是: ∑-=-=10)()(N n n z n h z H FIR 滤波器可以对给定的频率特性直接进行设计,而IIR 滤波器目前最通用的方法是利用已经很成熟的模拟滤波器的设计方法进行设计。 2、滤波器的技术要求 低通滤波器: p ω:通带截止频率(又称通带上限频率) s ω:阻带下限截止频率 p α:通带允许的最大衰减 s α:阻带允许的最小衰减 (p α,s α的单位dB ) p Ω:通带上限角频率 s Ω:阻带下限角频率 (s p p T ω=Ω,s s s T ω=Ω)即 C p p F ωπ2=Ω C s s F ωπ2=Ω 3、IIR 数字滤波器的设计步骤:

双线性变换法设计数字滤波器

双线性变换法设计IIR数字滤波器 一:实验目的 1) 熟悉用双线性变换法设计IIR数字滤波器的原理和方法。 2) 掌握数字滤波器设计的计算机仿真方法。 3) 观察对心电图信号的实际滤波作用,获得数字滤波器的感性认 识。 二:实验原理 在滤波器的设计过程中,毕竟那是一个重要环节,所谓逼进就是根据性能指标的要求,对理想特性进行逼进,以求得一个因果、稳定且客户实现的传递函数。 目前模拟滤波器的频域设计理论已经发展的相当成熟,它不仅具有简单而严格的设计公式,而且设计参数已经表格化了。借助模拟滤波器的逼进方法,用模拟与大树自语的某种变换,完成数字滤波器的逼进,这是一类简单而又行之有效的方法。 双线性变换法采用非线性频率压缩方法,将整个频域轴上的频率范围压缩到-/T?/T之间,再用z=e sT转换到z平面上。也就是说,第一步现将整个S平面压缩映射到S i平面的-/T?/T 一条横带里;第二步再通过标准变换关系e S1T将此横带变换到整个z平面上去。这样就使S平面与Z平面建立了一一对应的胆汁关系,消除了多只变换性,也就消除了频谱混跌现象,映射关系如图所示。

2 为了将S 平面的整个虚轴j 压缩到S i 平面轴上的-/T 到/T 段上, 可以通过以上的正切的变换实现 =2/Ttan ( “T/2)( 1-1) 式中,T 仍是采样间隔。 当i 由-/T 经过0变化到/T 时, 由-经过0变化到+ ,也 即映射了整个j 轴。将上式(1-1)写成 .2。e jJ 2 e j 1T 2 j T ? J J 備(1_2 ) 将此关系解析延拓到整个S 平面和S 平面,令j =s, j 1=S 1,则得 2 1 e'T q T ?1 e ? T (1-3) 再将S 1平面通过以下标准变换关系映射到 Z 平面:Z= e S1T ( 1-4) 从而得到S 平面和Z 平面的单值映射关系为 2 1 Z 1 T 1 Z 1 (1-5) tan T T S (1-6)

数字信号处理-低通滤波器设计实验

实验报告 课程名称:数字信号处理 实验名称:低通滤波器设计实验 院(系): 专业班级: 姓名: 学号: 指导教师: 一、实验目的: 掌握IIR数字低通滤波器的设计方法。 二、实验原理: 2.1设计巴特沃斯IIR滤波器 在MATLAB下,设计巴特沃斯IIR滤波器可使用butter 函数。 Butter函数可设计低通、高通、带通和带阻的数字和模拟IIR滤波器,其特性为使通带内的幅度响应最大限度地平坦,但同时损失截止频率处的下降斜度。在期望通带平滑的情况下,可使用butter函数。butter函数的用法为:

[b,a]=butter(n,Wn)其中n代表滤波器阶数,W n代表滤波器的截止频率,这两个参数可使用buttord函数来确定。buttord函数可在给定滤波器性能的情况下,求出巴特沃斯滤波器的最小阶数n,同时给出对应的截止频率Wn。buttord函数的用法为:[n,Wn]= buttord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 2.2契比雪夫I型IIR滤波器。 在MATLAB下可使用cheby1函数设计出契比雪夫I 型IIR滤波器。 cheby1函数可设计低通、高通、带通和带阻契比雪夫I 型滤IIR波器,其通带内为等波纹,阻带内为单调。契比雪夫I型的下降斜度比II型大,但其代价是通带内波纹较大。cheby1函数的用法为:[b,a]=cheby1(n,Rp,Wn,/ftype/)在使用cheby1函数设计IIR滤波器之前,可使用cheblord 函数求出滤波器阶数n和截止频率Wn。cheblord函数可在给定滤波器性能的情况下,选择契比雪夫I型滤波器的最小阶和截止频率Wn。cheblord函数的用法为: [n,Wn]=cheblord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 三、实验要求: 利用Matlab设计一个数字低通滤波器,指标要求如下:

IIR数字滤波器的设计

数字信号处理课程设计报告 题目: IIR数字滤波器的设计 学院:化工过程自动化学院 专业班级: 学号: 姓名: 指导教师: 起止日期:2015年6月22日~2015年6月28日

目录 1课程设计的意义与任务要求 (1) 1.1课程设计的意义 (1) 1.2课程设计的任务要求 (1) 2课程设计的理论基础 (1) 2.1数字滤波器简介 (2) 2.2IIR数字滤波器的设计原理 (2) 2.3IR数字滤波器的特点 (3) 3 MATLAB软件介绍 (3) 3.1MATLAB软件介绍 (3) 3.2MATLAB应用领域 (4) 3.3MATLAB相关语句 (4) 4课程设计的具体内容 (5) 4.1数字滤波器设计步骤 (5) 4.2脉冲响应不变法和双线性变换法的变换原理和步骤.. 错误!未定义书签。 4.2.1脉冲响应不变法的变换原理和步骤 ............ 错误!未定义书签。 4.2.2双线性变换法的变换原理和步骤 (6) 4.3实验步骤及运行程序 (6) 5课程设计的总结与心得 (10) 参考文献 (11)

1、课程设计的意义与任务要求 1.1 课程设计的意义 数字滤波器是具有一定传输选择特性的数字信号处理装置,其输入、输出均为数字信号,实质上是一个由有限精度算法实现的线性时不变离散系统。它的基本工作原理是利用离散系统特性对系统输入信号进行加工和变换,改变输入序列的频谱或信号波形,让有用频率的信号分量输出。数字滤波器和模拟滤波器有着相同的滤波概念,根据其频率响应特性可分为低通、高通、带通、带阻等类型,与模拟滤波器相比,数字滤波器除了具有数字信号处理的固有优点外,还有滤波精度高、稳定性好、、灵活性强等优点。 1.2 课程设计的任务要求 (1)熟悉用脉冲响应不变法和双线性变换法设计IIR数字滤波器的原理与方法; (2)学会调用MATLAB信号处理工具箱中滤波器设计函数设计IIR数字滤波器,学会根据滤波需求确定滤波器指标参数。 2、课程设计的理论基础 利用MATLAB信号处理工具箱中的滤波器设计和分析工具(FDATool)可以很方便地设计出符合应用要求的未经量化的IIR数字滤波器。需要将MATLAB设计出的IIR数字滤波器进一步分解和量化,从而获得可用FPGA实现的滤波器系数。IIR数字滤波器的设计方法有两类:间接设计法和直接设计法。间接设计法是借助模拟滤波器设计方法进行设计的,先根据数字滤波器设计指标设计相应的过渡模拟滤波器,再将过渡模拟滤波器转换为数字滤波器。直接设计法师在时域或频域直接设计数字滤波器。 由于模拟滤波器设计理论非常成熟,而且有很多性能优良的典型滤波器可供选择(如,巴特沃斯滤波器、切比雪夫滤波器、椭圆滤波器等),设计公式和图表完善,而且许多实际应用需要模拟滤波器的数字仿真,所以间接设计法得到广泛的应用。而直接设计法要求解联立方程组,必须采用计算机辅助设计。在计算机普及的今天,各种设计方法都有现成的设计程序(或设计函数)可供调用,

相关文档
最新文档