毕业论文16×16点阵LED电子显示屏的设计

毕业论文16×16点阵LED电子显示屏的设计
毕业论文16×16点阵LED电子显示屏的设计

毕业设计论文

16×16点阵LED电子显示屏的设计

The 16×16 lattice LED electron display monitordesign

学生XX:李晓威

学号:9

指导教师;X东汉

所在院系:电气与电子信息工程学院

所学专业:电气工程及其自动化

XX理工学院

中国·XX

2007年4月

毕业设计[论文]任务书

姓名杜春雷学号043023133 院(系)电气与电子信息工程学院

同组X X潘恒斐史学涛叶滔指导教师江玲

一、课题名称

16×16点阵LED电子显示屏的设计

二、课题内容

设计一个室内用的16×16点阵LED图文显示屏。

三、课题任务要求

在目测条件下16×16点阵LED显示屏各点亮度均匀、充足,可显示图形和文字,显示图形或文字应稳定、清晰无串扰。图形或文字显示有静止、移入移出等显示方式。

四、同组设计者

潘恒斐史学涛叶滔

五、主要参考文献

[1] 李全利编著:《单片机原理及接口技术》(第2版).:高等教育,2004

[2] X志良主编:《单片机原理及控制技术》(第2版).:机械工业,2005

[3] X毅刚主编:《单片机原理及应用》.:高等教育,2006

[4] 李光飞编著:《单片机课程设计实例指导》(第2版).:航空航天,2004

[5] 李华主编:《MCS-51单片机实用接口技术》.:航空航天,1997

[6] X仁贵主编:《微型计算机接口技术及应用》.:机械工业,1998

[7] 诸昌钤编著:《LED显示屏系统原理及工程技术》.XX:电子科技大学,2000

[8] 梅开乡主编:《数字逻辑电路》(第2版).:电子工业,2005

[9] 杨素行主编:《模拟电子技术基础简明教程》(第2版).:高等教育,2004

[10] 吉雷主编:《Protel99从入门到精通》.XX:XX电子科技大学,2004

指导教师签字

教研室主任签字

年月日

摘要

本设计是一16×16点阵LED电子显示屏的设计。

整机以美国ATMEL 公司生产的40脚单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器74HC154和两个列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字,采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。显示采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。文中详细介绍了LED点阵显示的硬件设计思路、硬件电路各个部分的功能及原理、相应软件的程序设计,以及使用说明等。

单片机控制系统程序采用单片机汇编语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。

LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点在车站、证券所、运动场馆、交通干道及各种室内/外显示场合的信息发布,公益宣传,环境参数实时,重大活动倒计时等等得到广泛的应用。

经实践证明,该系统显示误差小,性能稳定,结构合理,扩展能力强。

关键词:AT89C51单片机;LED;点阵显示;动态显示;汇编语言。

Abstract

This design is a 16 ×16 lattice LED electron display monitor design.

The whole equipment is with the 40-pin AT89C51 MCU (Micro Controller Unit) produced by the AmericanATMEL pany at the core, introduced take it as the control system LED lattice electron display monitor dynamic design and the development process.Controls good driver 74HC154 and two row driver 74HC595 through this chip actuates the display monitor demonstration. The electronic screen can show all kinds of written or monochrome images, one full screen display Chinese characters, four pieces of 8×8 dot-matrix LED display modules to form the 16×16 dot matrix display mode. Show dynamic show that makes static graphic or text can be achieved, shifted out of various formats. This paper describes the hardware design of the LED dot matrix display, and the principle function of the various parts of the circuit, the corresponding software program design and the use of some such.

SCM process control system used for editing MCU assembly language, Programming control points indicated by the corresponding LED anode and overcast extreme level. We can effectively control the defense showed bright spots. The lattice data shows characters can prepare themselves (that is, direct lattice Painting), which can also be extracted from the standard font.

LED display with fabric means flexibility, stability, low power consumption, long life, mature technology, low-cost features at the station, securities, sports venues, transportation corridors and various indoor / dissemination of information on foreign shows occasions, good publicity, real-time environmental parameters, etc. countdown major activities are widely used.

As the practice proves, the system possesses advantages in low shows errors, stable, rational structure and strong extensible abilities.

Key words: AT89C51 Micro Controller Unit;LED;Lattice display;Dynamic display;Assembly language.

目录

摘要I

ABSTRACT II

第一章前言1

第二章系统整体设计方案2

2.1需要实现的功能2

2.2LED显示特点2

2.3设计方案论证3

2.3.1 显示模式方案3

2.3.2 数据传输方案4

第三章系统硬件部分设计5

3.1电源设计5

3.2单片机系统及外围电路6

3.2.1 单片机的选择6

3.2.2 AT89C51芯片介绍6

3.2.3 单片机系统外围电路9

3.3列驱动电路10

3.4行驱动电路12

3.4.1 行驱动芯片74HC154 介绍12

3.4.2 行驱动电路13

3.5LED显示屏电路15

第四章系统软件部分设计17

4.1系统主程序17

4.2显示驱动程序18

第五章调试及性能分析20 5.1软件调试20

5.2硬件调试20

5.3性能分析21

结束语22

致谢23

附录一系统综合电路原理图24 附录二系统程序清单25

主要参考文献32

《16×16点阵LED字符显示器设计毕业论文初稿》

引言 LED显示屏是一种通过控制半导体发光二极管的显示方式,用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。图文显示屏可与计算机同步显示汉字、英文文本和图形;视频显示屏采用微型计算机进行控制,图文、图像并茂,以实时、同步、清晰的信息传播方式播放各种信息,还可显示二维、三维动画、录像、电视、VCD节目以及现场实况。 LED显示屏显示画面色彩鲜艳,立体感强,静如油画,动如电影,广泛应用于车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。在实际应用中的显示屏由于成本和可靠性的因素常采用一种称为动态扫描的显示方法。 本文设计的是一个室内用16x16的点阵LED图文显示屏,图形或文字显示有逐字显示、向上滚动、向左滚动等显示方式。 它的优点:亮度高、工作电压低、功耗小、微型化、易与集成电路匹配、驱动简单、寿命长、耐冲击、性能稳定。

第一章设计要求与方案论证 1.1 设计要求 设计一个16×16点阵LED字符显示器,要求如下: 显示器采用AT89S52单片机作控制器,12MHz晶振,16×16点阵共阳LED显示器。16×16点阵LED字符显示器能显示“电气自动化”五个文字。显示方式可由S1、S2和S3选择,S1为逐字显示,S2为向上滚动显示,和S3为向左滚动显示。 1.2 系统基本方案的选择和论证 1.2.1 核心电路的选择 方案一:采用89C51单片机 采用89C51作为硬件核心,内部具有4KB Flash ROM存储空间,能运用于3V 的超低压工作,而且与MCS-51系列单片机完全兼容,但是运用于电路设计中时由于不具有ISP在线编程技术,当在对电路进行调试时,由于程序的过错修正或对程序的新增功能需要烧入程序时,对芯片的多次插拔会对芯片造成必定的损坏。 方案二:采用8051单片机: 8051单片机最早由Intel公司推出,内部具有4KB ROM存储空间。其后多家公司购买了8051的内核,使得以8051为内核的MCU系列单片机在世界上产量最大,应用也最广泛。有人推测8051可能最终形成事实上的标准MCU芯片。LG公司生产的GMS90系列单片机,与Intel MCS-51系列、Atmel 89C51/52,89C2051等单片机兼容,CMOS技术,高达40MHZ的时钟频率。应用于:多功能电话,智能传感器,电度表,工业控制,防盗报警装置,各种计费器,各种IC卡装置、DVD、VCD、CD-ROM。 方案三:采用AT89S52单片机 AT89S52 是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash 存储器。使用Atmel公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8位CPU和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。该芯片且具备在线编程可擦除技术,当在对电路停止调试时,因为顺序的过错修正或对步伐的新删功能

16×16点阵显示设计

16×16点阵显示设计

课程设计 课程名称嵌入式系统课程设计 课题名称 16X16的点阵显示设计 专业 班级 学号

姓名 指导老师 2016年12月20日 电气信息学院 课程设计任务书 课题名称16×16的点阵显示设计 姓名专业班级学号 指导老师 课程设计时间2016年12月18日-2016年12月30日(17、18周) 教研室意见意见:同意审核人:刘望军 一、任务及要求 CPLD为复杂可编程逻辑器件,通过EDA技术对其进行编程,可将一个较复杂的数字系统集成于一个芯片中,制成专用集成电路芯片,并可随时在系统修改其逻辑功能。并最终完成电路的编程调试。具体要求如下: 用一个16×16的点阵使用逐列循环扫描的方式不间断的显示你姓名的全部大写拼音字母。 二、进度安排 第一周: 周一:集中布置课程设计相关事宜。

周二~周三:子模块程序设计,顶层电路程序设计。 周四~周日:子模块,顶层电路仿真。 第二周: 周一~周三:编程下载,系统调试。 周四~周五:设计报告撰写。周五进行答辩和设计结果检查。 三、参考资料 1. 张原编著,可编程逻辑器件设计及应用,机械工业出版社。 2.荀殿栋,徐志军编著,数字电路设计实用手册,电子工业出版社。 3. 刘洪喜,陆颖编著. VHDL电路设计实用教程清华大学出版社。 目录 1.总体设计思路与功能设计 (1) 1.1.基本原理 (1) 1.2.总体设计框图 (2) 1.3.顶层文件设计 (2) 2.单元电路设计 (3) 2.1列循环扫描模块 (3) 2.2字符样式设计模块 (3)

2.3循环扫描及延时模块 (4) 2.4整个程序 (5) 3.系统调试与仿真 (9) 3.1 开发环境介绍 (9) 3.2.1 创建工程 (9) 3.2.2 编译前设计 (9) 3.2.3 全程编译 (11) 3.2.4时序仿真 (12) 4.下载调试 (13) 5.心得体会 (14) 6.参考文献 (14)

基于单片机的16乘16的点阵屏设计小彬

电子技术课程设计基于单片机的16×16点阵滚动屏设计 院(系)名称信息工程学院 专业班级 学号 学生姓名小彬 指导教师 2014年5月30日

基于单片机的16×16点阵滚动屏的设计 摘要 随着LED点阵屏显的技术日趋成熟,精致低功耗的点阵成为发展的一种趋势······本设计以单片机最小系统为核心,作为主控芯片。采用经济实惠的STC89C52系列单片机,来控制LED点阵电子显示屏的动态设计。16×16完全可以满足各种文字或单色图像,采用4块8×8点阵(SM421988共阴),采用阳码实现行输入(74hc595驱动),阴码实现列扫(74hc154列扫)。采用动态显示,使图像或文字能够实现静止、移入移出等多种显示方式。 基于C语言易植入,容易开发特点,采用C语言编程,文字图像取模用PCtoLCD2002取模软件。LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低等特点在车站、证券所、运动场馆、交通干道及各种室内、室外显示场合的信息发布,公益宣传,环境参数实时等等得到广范应用。 关键词:STC89C52,SM421988, 74hc595,74hc154, 点阵动态显示。 目录 1 绪论 ......................................................................................................................................... I 1.1课题描述 ......................................................................................................................... I 1.2基本工作原理及框图 ..................................................................................................... I 2 相关芯片及硬件电路设计 ..................................................................................................... I 2.1STC89C52芯片 .............................................................................................................. I 2.1.1 STC89C52的功能特性 ........................................................ 错误!未定义书签。 2.1.2 STC89C52的主要性能参数................................................................................. II 2.1.3 STC89C52最小系统电路 .................................................... 错误!未定义书签。 2.2点阵显示 ...................................................................................................................... I II 2.2.1 SM421988的功能特性.......................................................................................... I II

16×16点阵显示屏电路印制板图的设计

《基础强化训练》报告 题目:16×16点阵显示屏电路印制板图的设计专业班级: 学生姓名: 指导教师: 武汉理工大学信息工程学院 2010 年7 月13 日

基础强化训练任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 16×16点阵显示屏电路印制板图的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知 识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、基础课程和基本技能强化训练 (1)设计一个16 ×16点阵显示屏电路; (2)对所设计电路的基本原理进行分析; 2、文献检索与利用、论文撰写规范强化训练 要求学生掌握基本的文献检索方法,科学查找和利用文献资料,同时要求学生获得正确地撰写论文的基本能力,其中包括基本格式、基本排版技巧和文献参考资料的写法、公式编排、图表规范制作、中英文摘要的写法等训练。 3、基本动手能力和知识应用能力强化训练 (1)学习PROTEL软件; (2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 计算机;Microsoft Office Word 软件;PROTEL软件 三、时间安排 1、20010年7 月12日集中,作基础强化训练具体实施计划与报告格式要求的说明;学生查阅相关资料,学习电路的工作原理。 2、2010 年7 月12 日,电路设计与分析。 3、2010 年7 月13日至2010 年7 月15日,相关电路原理图和PCB版图的绘制。 4、2010年7 月16日上交基础强化训练成果及报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

16乘16点阵显示实验报告剖析

实验报告 实验名称: [16×16点阵显示实验] 姓名: [] 学号: [201] 指导教师: [解*] 实验时间: [2013年4月25日] 信息与通信工程学院

16×16点阵显示实验 1实验要求 任务1:将所给程序改正使结果为正显示; 任务2:使显示四个字、八个字。 2实验原理 2.1 LED显示器结构和原理 1>8*8LED点阵的结构 图1 8*8LED点阵结构图 从图1中可以看出,8*8LED点阵共由64个发光二极管组成,每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置1高电平,且某一列置0低电平,则相应的发光二极管就亮;因此要用8*8LED点阵来显示一个字符或汉字,只需要根据字符或汉字图形中的线条或笔画,通过点亮多个发光二极管来勾勒出字符或汉字的线条或笔画就行了。当要比较完美的显示一般的汉字,单个8*8LED点阵模块很难做到,因为LED的点数(也称为像素点)不够多,因此要显示汉字的话,需要多个8*8LED点阵拼合成一个显示屏。假如用4个8*8LED点阵模块拼成16*16的点阵,即能满足一般汉字的显示。但要显示信息量大的图形,则需要n个多个8*8LED点阵,拼装成一个大屏幕才行。

LED点阵显示器最大的特点是亮度高、功耗较低、寿命长、容易控制等,因此它的应用很广,常用在广场、车站、商业广告等室外的显示。 2>8*8LED点阵的封装和引脚规律 64个发光二极管按照行共阳、列共阴4个一组的方式封装成一个模块,这样8*8LED 点阵模块就有8行、8列共16个引脚。其实物图如图2,电路模块符号图如图3。 图2 8*8LED点阵实物图图3 8*8LED点阵符号图但8*8LED点阵的16个引脚并不是很有规律,千万不要想象成1~8个引脚是行,9~16个引脚是列。而且不同产品的点阵外部引脚排列规律还可能不一样。以下是NLB1388SRA 和LDM1388SRA两个型号点阵引脚对应行、列的关系表: 行号H0 H1 H2 H3 H4 H5 H6 H7 引脚号9 14 8 12 1 7 2 5 列号L0 L1 L2 L3 L4 L5 L6 L7 引脚号13 3 4 10 6 11 15 16 假如你买到一块新的8*8LED点阵,又没有关于它的相关资料,那你只有自己用万用表或通过VCC电源串接一个510欧姆的电阻来检测了。 2.2 LPM_ROM的应用 该模块为逻辑宏模块存储器。其应用过程如下。 1选择模块

基于FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告 设计(论文)题目基于FPGA的LED 16×16点阵汉字显示设计 一、选题的背景和意义: LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国LED 显示屏应用逐步增多。目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。 因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。本设计可以方便的应用到各类广告宣传中。 二、课题研究的主要内容: 1. 实现16×16点阵的汉字显示; 2. 实现有限汉字显示; 4. 实现汉字的滚动显示; 5. 完成方案论证。 三、主要研究(设计)方法论述: 通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。 通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。 在EDA试验箱上进行调试。 四、设计(论文)进度安排:

时间(迄止日期)工作内容 2010.5.17-5.23 理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周) 2010.5.24-5.30 完成调研与资料收集、整理 (第2周) 2010.5.31-6.6 设计方案及原理框图确定 (第3周) 2010.6.7-7.4 电路资料收集,单元电路设计 (第4、5、6、7周) 2010.7.5-7.18 电路仿真与改进、完善 (第8、9周) 2010.19-8.1 资料整理 (第10、11周) 2010..8.2-8.8 书写毕业设计报告 (第12周) 2010.8.9-8.16 (第13周)修改毕业设计报告并整理装订 五、指导教师意见: 指导教师签名:年月日六、系部意见: 系主任签名:年月日 目录

51单片机16X16LED点阵式汉字电子显示屏设计_毕业设计(论文)

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的16×16点阵汉字显示设计

EDA课程设计 题目: 16*16点阵显示器的设计 班级:08电子信息工程(应电方向) 院系:应用技术学院 姓名:学号: 实验地点:应用技术学院综合实验室 指导老师:王悦善职称:讲师 成绩: ( 2011年6月2日 )

目录 1. 前言 (2) 1.1本设计的研究背景和研究目的 (2) 1.2LED点阵显示特点 (2) 1.3FPGA设计的特点 (2) 2 系统设计 (3) 2.1.1设计任务与要求 (3) 2.1.2 设计要求 (3) 2.2设计原理 (3) 2.3扫描控制模块 (3) 2.4方案选择 (3) 2.4.1 方案一: (3) 2.4.2方案二汉字的存储 (4) 2.5实现 (4) 2.5.1列循环扫描 (4) 2.5.2字符样式设计 (5) 3. 系统调试与仿真 (8) 3.1开发环境介绍 (8) 3.2调试与仿真 (8) 3.2.1 创建工程 (8) 3.2.2 编译前设置 (8) 3.2.3 全程编译 (10) 3.2.4功能仿真 (10) 4 结束语 (12) 5 参考文献 (12) 6 附录:程序 (13)

1. 前言 1.1 本设计的研究背景和研究目的 随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用LED点阵滚动显示汉字的出现正好适应了这一市场需求,已经成为信息传播的一种重要手段。采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。随着电子设计自动化(EDA)技术的进展,基于可编程FPGA器件进行系统芯片集成的新设计方法,也正在快速地到代基于PCB板的传统设计方式。因此,本设计的研究是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。 1.2 LED点阵显示特点 (1)可以显示各种数字、文字、图表、曲线、图形; (2)采用纯红、高绿作双基色发光器件,发光亮度高,色彩鲜艳、丰富; (3)显示效果清晰、稳定、功耗低、寿命长; (4)优质铝合金结构,磨沙、银镜或钛金不锈钢包边。尺寸和规格可根据需要灵活组合; (5)支持各种计算机网络,编辑软件丰富、易用; (6)适用于室内、外所有信息发布及广告宣传场所。如:银行、证券交易所、商场、市场、宾馆、洒楼、电信、邮政、医院、车站、机场等。 1.3 FPGA设计的特点 FPGA 通常被认为是ASIC 实现的一种替代手段. 一般ASIC 包括三种, 既全定制、半定制(含标准单元和门阵列) 以及可编程器件。对于前两种, 需要支付不可重复使用的工程费用NRE (Non recurring Engineering) , 主要用于芯片的流片、中测、分析的工程开销, 一次费用一般在1 万 至数万美元以上。如果一次不成功、返工、甚至多次返工,NRE 费用将要上升。成本高、风险大, 而通常对每个ASIC 品种的需求量往往不大,NRE 费用分摊到每个产品上价太高, 用户无法接受。而对于可编程器件PLD (Programmable Logic Device) 正是可以解决上述问题的新型ASIC, PLD 以其操作灵活、使用方便、开发迅速、投资风险小等突出优点, 特别适合于产品开发初期、科研样品研制或小批量的产品. FPGA 是一种新型的PLD, 其除了具有PLD 的优点外, 其规模比一般的PLD 的规 模大。目前,Xilinx 推出的XC4025 可以达到25000 门的规模,Altera 公司的FLEX10K100 系列芯 片可达到十万门的规模,完全可以满足用户的一般设计需要。 FPGA 的主要特点是: 寄存器数目多, 采用查找表计数,适合时序逻辑设计。但是互连复杂, 由于互连采用开关矩阵,因而使得延时估计往往不十分准确。FPGA 也有其自身的局限性, 其一就是器件规模的限制,其二就是单元延迟比较大。所以, 在设计者选定某一FPGA器件后, 要求设计者对器件的结构、性能作深入的了解, 在体系结构设计时, 就必须考虑到器件本身的结构及性能, 尽可能使设计的结构满足器件本身的要求. 这样就增加了设计的难度。 离开对FPGA 结构的详细了解, 设计人员就不可能优化设计。因而设计人员必须了解FPGA 器件的特性和限制, 熟悉FPGA 的结构。在了解FPGA 结构特点的基础上, 就可以利用VHDL 语言描写出高效的电路描述实现性能优化的电路。

PROTEUS16X16点阵制作教程详解版

PROTEUS16*16点阵(元件)制作 首先,新建一个工程,保存,并在PROTEUS元件库里找到一个8X8点阵 点击右键,选择Decompose(元件分解) 这时元件旁边出现了一个“原点”和“NAME=…”的内容,说明元件已经进入可编辑状态

双击“NAME…”字段,可以打开元件的脚本文件,里面包含元件的诸多定义,不要随便修改 接下来,将鼠标指向点阵边缘位置,鼠标变成“手形”,点击右键,即可“Drag Objecet”拖动点阵的发光背景板

接下来,点击工具栏“”,就可以看到很多标记符号,这些符号是用来代表LED点阵每个点的状态的,即每个点有两个状态,例如LEDMATRIX_G_0_0表示第一行灯灭的状态,LEDMATRIX_G_1_1表示第二行灯亮的状态 随意点击一个,然后在电路设计区再点一下,就会出现一个亮点或者是暗点 然后单击右键,选择“Decompose”

这时小点上方便会出现一个“”然后将小点和“原点”一起拖拽到下图的状态: 我们便会知道这个点是第几行的点,本例中这个点就是LEDMA TRIX_G_1_1了,知道了这一点,下面的工作便会很简单,比如我想产生一个第11行的点,就在上图的基础上将小点拖拽到第11行的位置,如下图情况

记住,在此过程中,我们要将所有的原点都托至同一水平线,如上图,对于8X8的点阵来说,已经有了第8行了,所以要做一个16X16的点阵,我们需要产生的是9—16行的点的状态符号,在上图中任意选择一个点以及其上的原点(一定不能掉哦),然后右键选择“Make symble”(如下图)

在“symble name”栏里面我们要填和左边“”栏里面一样的格式的状态符号,比如是

基于单片机的16×16LED点阵显示屏设计

编号 毕业设计(论文) 题目基于单片机的16×16LED点阵显示屏设计 二级学院电子信息与自动化学院 专业测控技术与仪器 班级测控一班 学生姓名丁徽徽 学号 11107030106 指导教师米曾真职称副教授 时间 2015年6月6日

目录 摘要 ................................................................................................................................................... I Abstract ............................................................................................................................................ II 第一章绪论. (1) 1.1 单片机的发展阶段 (1) 1.2 单片机的发展趋势 (1) 1.3 单片机的特点 (3) 1.4 LED简介 (4) 1.5 LED的特点 (5) 第二章功能要求及方案论证 (6) 2.1 功能要求 (6) 2.2 显示模块方案论证 (6) 第三章系统电路的硬件设计 (9) 3.1 设计框图 (9) 3.2 单片机的选择 (9) 3.3 AT89C51芯片简介 (10) 3.4 系统硬件电路的设计 (13) 第四章系统的程序设计 (22) 4.1程序的编写过程 (22) 4.2 程序设计流程图 (24) 第五章软件调试及硬件制作 (25) 5.1 软件调试及仿真 (25) 5.2 硬件制作 (28) 结束语 (29) 致谢 (30) 附录 (37) 附录A:16*16点阵显示屏设计程序 (37) 附录B:proteus ISIS 软件仿真图 (48) 附录C:供电以后16*16点阵实物效果图 (49) 附录D:元器件清单列表 (50)

16乘16点阵屏仿真(4个74hc595)+程序

直接上图吧,51控制,4个74hc595连接。,前面的图片是整体的,后面的是局部放大,以免看不清

直接复制代码即可运行 #include #include #define uchar unsigned char #define uint unsigned int #define NUM 1 #define NUN 9 sbit SH_CP=P2^0; sbit DS=P2^1; sbit ST_CP=P2^2; uchar temp=0x80; uint tem=0; uchar code tab[NUN][32]= { 0x10,0x08,0x04,0x3F,0x21,0x21,0x3F,0x21,0x21,0x3F,0x01,0x01,0xFF,0x01,0x01,0x01, //单0x10,0x20,0x40,0xF8,0x08,0x08,0xF8,0x08,0x08,0xF8,0x00,0x00,0xFE,0x00,0x00,0x00, 0x00,0x10,0x10,0x10,0x10,0x1F,0x10,0x10,0x10,0x1F,0x10,0x10,0x10,0x20,0x20,0x40, //片0x40,0x40,0x40,0x40,0x40,0xFC,0x00,0x00,0x00,0xE0,0x20,0x20,0x20,0x20,0x20,0x20, 0x10,0x11,0x11,0x11,0xFD,0x11,0x31,0x39,0x55,0x55,0x91,0x11,0x11,0x12,0x12,0x14, //机0x00,0xF0,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x12,0x12,0x12,0x0E,0x00, 0x20,0x20,0x20,0x20,0xFB,0x20,0x20,0x22,0x22,0x24,0x28,0x20,0x21,0x21,0x22,0x24, //协0x80,0x80,0x80,0x80,0xF0,0x90,0x90,0x98,0x94,0x92,0x92,0x90,0x10,0x10,0x50,0x20,

16×16点阵LED显示汉字

以下程序在16×16点阵LED上依次显示“梅川酷子”四个字,分别用正向显示和反向显示,间隔两秒钟变换一次,电路图和效果图下图所示。 AT89c52晶振频率为24MHz,用T0定时,改变变量flag值,从而让程序确定显示哪个汉字和显示方式(正向or反向)。 #include 或者#include #define int8 unsigned char #define int16 unsigned int #define int32 unsigned long int8 flag; /* flag变量 MSB 7 6 5 4 3 2 1 0 LSB × ×× Bit5=1,Bit4=0 时,负向显示 Bit5=0,Bit4=1 时,负向显示 Bit[2..0]74HC138的片选信号 */ int8 n; int8 code table[][32]={ {0x88,0x00,0x88,0x00,0x88,0x7F,0x48,0x00,0xDF,0x1F,0xA8,0x10,0x9C,0x1 2,0xAC,0x14,0xEA,0x7F,0x8A,0x12,0x89,0x14,0x88,0x10,0x88,0x7F,0x08,0x 10,0x08,0x14,0x08,0x08},/*"梅",0*/ {0x08,0x20,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x2 1,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x04,0x 21,0x04,0x20,0x02,0x00},/*"川",1*/ {0x00,0x08,0xFE,0x08,0x28,0x0A,0x28,0x7E,0xFE,0x0A,0xAA,0x09,0xAA,0xF F,0xEA,0x00,0x86,0x00,0x82,0x7E,0xFE,0x42,0x82,0x42,0x82,0x42,0xFE,0x 7E,0x82,0x42,0x00,0x00},/*"酷",2*/ {0x00,0x00,0xF8,0x1F,0x00,0x08,0x00,0x04,0x00,0x02,0x00,0x01,0x00,0x0 1,0x00,0x41,0xFE,0xFF,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x 01,0x40,0x01,0x80,0x00}/*"子",3*/ }; void delay(void); void main(void){ int8 i; int8 j; int8 index;

基于某FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告

目录摘要

ABSTRACT 第一章前言 (1) 1.1本设计的研究背景和研究目的 (1) 1.2LED点阵显示特点 (1) 1.3FPGA设计的特点 (1) 第二章系统设计 (3) 2.1设计任务与要求 (3) 2.1.1设计任务 (3) 2.1.2设计要求 (3) 2.2设计原理 (3) 2.2.1总体设计方案 (3) 2.2.2方案的比较 (4) 2.3扫描控制模块 (4) 2.3.1 LED的显示原理 (4) 2.3.2汉字的存储 (5) 2.4汉字显示 (5) 2.4.1列循环扫描 (5) 2.4.2字符样式设计 (6) 2.4.3字母循环扫描及期间的延时环节 (9) 2.5整个完整的程序 (9) 第三章系统调试与仿真 (14)

3.1开发环境介绍 (14) 3.2调试与仿真 (14) 3.2.1 创建工程 (14) 3.2.2 编译前设置 (14) 3.2.3 全程编译 (16) 3.2.4时序仿真 (17) 第四章结束语 (18) 答谢辞 (18) 参考文献 (18) 摘要 主要研究基于VHDL的Led点阵汉字滚动显示。首先描述了基于现场可编程门阵(FPGA)的硬件电路,以及点阵显示汉字的原理;然后在单个16X16 LED发光二极管点阵上滚动汉字的原理;最后给出了描述其功能的VHDL语言程序设计方法。通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫描结果,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。 关键词:LED点阵;FPGA;VHDL语言;汉字滚动显示

Abstract Primary research is based on VHDL, Led Scrolling dot matrix characters. First described based on field programmable gate array (FPGA) hardware circuit, as well as the principle character dot matrix display; and then in a single 16X16 LED scrolling LED dot matrix on the principles of Chinese characters; Finally, the

LED16×16点阵汉字显示屏设计

XX科技职业学院 毕业论文 LED16×16点阵汉字显示屏 LED 16 x 16 bitmap characters display screen 院系:电子科技学院 专业班级:08电信(1)班 学生姓名: 学号: 指导教师姓名: 指导教师职称: 二O一O 年十一月

目录 第一章绪论 (1) 第二章任务设计……………………………………………………… 2.1 设计依据 (40) 2.2 要求及主要内容……………………………………………………… 2.3 途径和方法.....................................................................第三章AT89C51单片机概述 (52) 3.1 AT89C51单片机的结构…………………………………………… 3.2 管脚说明 (60) 3.3 振荡器特性…………………………………………………………… 第四章方案设计 (66) 4.1 总体设计……………………………………………………………… 4.2 系统硬件选择………………………………………………………… 4.3 硬件电路实现………………………………………………………… 4.4 软件的程序实现……………………………………………………… 结论 (71) 致谢 (74) 参考文献 (75)

LED16×16点阵汉字显示屏 摘要 : LED点阵显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 LED点阵显示屏可以显示数字或符号,通常用来显示时间、速度、系统状态等。文章给出了一种基于MCS-51单片机的16×16 点阵LED显示屏的设计方案。包括系统具体的硬件设计方案,软件流程图和部分C语言程序等方面。在负载范围内, 只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉的图文显示方案。 本设计主要以AT89C51单片机为核心,采用串行传输、动态扫描技术,制作一款拥有显示汉字的模块化LED多功能显示屏。 关键词:MCS-51 LED 16×16点阵

16×16点阵字库

16×16点阵字库 2007-06-25 09:07 分类:单片机 一般我们使用16*16的点阵宋体字库,所谓16*16,是每一个汉字在纵、横各16点的区域内显示的. 不过后来又有了HZK12、HZK24,HZK32和HZK48字库及黑体、楷体和隶书字库. 虽然汉字库种类繁多,但都是按照区位的顺序排列的.前一个字节为该汉字的区号,后一个字节为该字的位号. 每一个区记录94个汉字,位号则为该字在该区中的位置. 因此,汉字在汉字库中的具体位置计算公式为:94*(区号-1)+位号-1. 减1是因为数组是以0为开始而区号位号是以1为开始的. 这仅为以汉字为单位该汉字在汉字库中的位置,那么,如何得到以字节为单位得到该汉字在汉字库中的位置呢? 只需乘上一个汉字字模占用的字节数即可, 即:(94*(区号-1)+位号-1)*一个汉字字模占用字节数,而按每种汉字库的汉字大小不同又会得到不同的结果. 以16*16点阵字库为例,计算公式则为:(94*(区号-1)+(位号-1))*32. 汉字库文该从该位置起的32字节信息即记录了该字的字模信息. 了解点阵汉字及汉字库的构成原理后,显示汉字就变得简单.以16*16点阵字库为例, 通常的方法是:将文件工作指针移到需要的汉字字模处、将汉字库文件读入一2*16数组再用for循环一位位地显示. #include "graphics.h" #include "stdio.h" main() { int i=VGA,j=VGAHI,k; unsigned char mat[16][2],chinease[3]="我"; FILE *HZK; if((HZK=fopen("hzk16","rb"))==NULL)exit(0); initgraph(&i,&j,""); i=chinease[0]-0xa0;j=chinease[1]-0xa0; /*获得区码与位码*/ fseek(HZK,(94*(i-1)+(j-1))*32l,SEEK_SET); fread(mat,32,1,HZK); for(j=0;j<16;j++) for(i=0;i<2;i++) for(k=0;k<8;k++) if(mat[j][i]&(0x80>>k)) /*测试为1的位则显示*/ putpixel(i*8+k,j,WHITE); getch();

16×16 LED点阵设计-毕业设计

16×16 LED点阵设计 学生:指导教师: 内容摘要:LED点阵电子显示屏的发展越来越好广泛,它成为一个宣传信息的重要平台,已经得到了社会的普遍认同。LED点阵显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。 该设计是一个16×16LED点阵电子显示屏显示数字的设计。整块电子显示屏的控制核心是40引脚的单片机AT89S51,说明了LED点阵电子显示屏用AT89S51为控制系统的动态的设计和开发的具体过程。通过该芯片控制两个列驱动器74HC595来驱动显示屏显示,本设计就是使用4块8×8点阵显示模块来组成16×16点阵显示屏,采用动态扫描显示,程序编写的实现是使用简单流通性强的C语言,该设计的结果证明,系统显示清晰,结构合理,误差小,扩展能力强,性能稳定。 关键词:AT89S51 LED点阵数字显示

Design of 16*16 LED dot matrix Abstract:LED electronic display found the screen better and better.It has become an important platform of a promotional information,it has been widely accepted by the society,LED dot matrix display is the use of plane composition LED lattice module or pixel unit display screen ,It has the advantages of high light efficiency ,long. The designed is a digital design of a 16*16 LED dot matrix display ,control the core piece of electronic display is the 40 pin of the microcontroller AT89S51.Describes the specific process of the design and development of LED dot matrix display with AT89S51 as the control system dynamic.Through the control two columns drive chip 74HC595 are needed to drive the display shows that this design is to use 4 pieces of 8 x 8 dot matrix display module to form a 16 * 16 dot matrix display screen, a dynamic scans showed that the realization of the programming is simple to use strong liquidity of C language, and the design results show that the system shows clear, reasonable structure, little error and extension ability strong, stable performance. Keywords: AT89C51 dot matrix LED the digital display screen

16X16点阵LED电子显示屏设计资料

单片机应用系统实验设计 16X16点阵LED电子显示屏设计 班级:物电学院电信2班 组员:吕勇军学号:201011020219 黄波201011020220 指导老师:彭建英 日期:2012年12月1号

第一章绪论 1.1 单片机的应用 单片机是生活必不可缺的,顾名思义单片机的应用是很广泛的,导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯和数据传输、工业自动化过程和实时控制数据处理、广泛使用的智能IC卡、民用豪华轿车的安全保障系统、录像机和摄像机、全自动洗衣机的控制、以及程控玩具、电子宠物等等。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域。 1.2电子显示屏 随着现代光电技术、微电子技术及计算机技术的飞速发展和普及,LED显示屏已遍及社会的各个领域。简单的讲,显示屏就是由若干个可组合拼接的显示单元构成屏体,再加上一套适当的控制器。所以多种规格的显示板配合不同技术的控制器就可以组成许多种LED显示屏,以满足不同环境,不同显示要求的需要。LED显示屏是由几万到几十万个半导体发光二极管像素点均匀排列组成。利用不同的材料可以制造不同色彩的LED像素点。目前应用最广的是红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了实用阶段。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED显示屏的分类:按颜色可以分为单基色显示屏、双基色显示屏、全基色显示屏;按显示器分类LED数码显示屏、LED点阵图文显示屏;按实用场合分类有室内显示屏和室外显示屏。仔细分解一个LED显示屏,它有以下一些要素构成:金属结构框架、显示单元、扫描控制板、开关电源、双绞线传输电缆、主控制仪、专用显示卡及多媒体卡、电脑及其外设、其它信息源。

相关文档
最新文档