2016译码显示电路实验报告

2016译码显示电路实验报告
2016译码显示电路实验报告

实验四译码显示电路

一、实验目的

1. 掌握中规模集成译码器的逻辑功能和使用方法

2. 熟悉数码管的使用

二、实验仪器及器件

1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门

三、实验预习

1. 复习有关译码显示原理。

2. 根据实验任务,画出所需的实验线路及记录表格。

四、实验原理

1. 数码显示译码器

(1)七段发光二极管(LED)数码管

LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。(注:实验室实验箱上数码管为共阴四位数码管)

一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图(一)LED 数码管

(2)BCD 码七段译码驱动器

此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。 图(二)为74LS48引脚排列。其中 A 0、A 1、A2、A 3— BCD 码输入端

a 、

b 、

c 、

d 、

e 、

f 、

g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。

LT —

灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。

RBO /BI —

作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。

注:在实验箱上使用了两个4位数码管,对应已经连接好74LS48,如图(四),实验时无需再连线,74LS48只保留引出了A 0、A1、A 2、A 3四个引脚 。在实验箱左上角的P10、P11、P12、P13(P20、P21、P22、P23)代表第一(二)块数码管的BCD 码(即A 0、A 1、A 2、A 3端)输入,DIG1~DIG8分别代表8位数码管的位选端。

2. 扫描式显示

对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于

某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1低电平送出千位数,Ds2低电平送出百位数,……一般Ds 的低电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。

如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED (共阴)的发光段并连接至译码器的相应端,把数据输入的相应端与系统输出端相连,把各位选通端反向后接相应LED 的公共端。

3. 四节拍发生器

扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阳极型,则选通信号是图(三)信号的反相,共阴极则与图(三)信号一致。如图(五)所示就是这种节拍信号发生器。

图中74LS194为移位寄存器。它具有左移、右移,并行送数、保持及清除等五项功能。其引脚图如图(六)所示。其中Cr为清除端,CP为时钟输入端,S0、S1为状态控制端,D SR为右移数据串行输入端,D SL为左移数据输入端,D0、D1、D2、D3位并行数据输入端,QA、QB、QC、QD为数据输出端。其功能表如表(二)所示。

节拍发生器工作开始时,必须首先进行清零。当Cr负脉冲过后QA、QB、QC、QD全为零。JK触发器Q=1,因而S1=S0=1,实现并行送数。

当第一个脉冲的上升沿到达后,置入0111,CP下降沿到达后Q=0,即S1=0,S0=1,实现右移功能。在CP作用下输出依次为1011,1101,1110,第四个CP 下降沿到达后又使Q=1,实现第二个循环。

五、实验内容

1.使用74LS194,74LS73,74LS48,基础逻辑门和两个四联装的共阴极数码

管实现本人学号的显示。

2.使用74LS197,74LS138,74LS48,基础逻辑门和一个八联装的共阴极数码管,实现本人学号的显示。

3.使用其它设计方法,实现本人学号的显示

4.使用2*74LS197串联,产生两位十进制00-59的计数,计数脉冲为1HZ;设计电路,在两联装的共阴极数码管,显示出00-59的秒钟计数。

六,实验设计及结果

1.使用74LS194,74LS73,74LS48,基础逻辑门和两个四联装的共阴极数码

管实现本人学号的显示。

本次实验大体可以分为两部分,第一部分是由四节拍发生器组成,第二部分则由两个74LS48 和两个MPX4-CC-BULE组成,重点在于如何将四位的节拍发生器信号,转化为对应的数字信号

第一个74LS48的真值表如下:

D = 0

C = Q0Q2(3

Q )

1Q

B = 2

Q

A = 1

第二个74LS48的真值表如下:

D = Q0Q1Q23

Q

C = 0

B = ()3

Q

Q?

Q

2

1

0Q

A = ()3

Q

Q

Q?

1Q

2

实验结果:

2.使用74LS197,74LS138,74LS48,基础逻辑门和一个八联装的共阴极数码管,

实现本人学号的显示。

由于74LS138可以产生八个类似图三的信号

所以我们不需要节拍发生器,只需要把74LS138产生的最小项做与非处理,生成数字信号即可,

真值表如下:

Y

D = 7

C=

Y

1Y

3

Y

B=

Y

2Y

5

4

A=

Y

7

4Y

实验结果:

3.使用其它设计方法,实现本人学号的显示

在实验一二中分别用节拍发生器和74LS138 实现了图三的信号

因此在这一个实验中,我决定采用74LS197和基本逻辑门来实现,真值表分为两部分,分别是:8421码转节拍信号,和节拍信号转数字信号

C1 = 210Q Q Q C2 = 210Q Q Q C3 = 210Q Q Q C4 = 210Q Q Q C5 = 210Q Q Q C6 = 210Q Q Q C7 = 210Q Q Q C8 = 210Q Q Q

D = 8C C = 42C C B = 653C C C A = C5C8

实验结果:

5.使用2*74LS197串联,产生两位十进制00-59的计数,计数脉冲为1HZ;设计电路,在两联装的共阴极数码管,显示出00-59的秒钟计数。

因为要产生00-59 个数,很明显一个197最多只能输出十六个数,故需要两个197级联,其中一个代表个位另一个产生十位,分别用与非门控制输出为0-9,和0-5

同时因为只有两位数,只需要MPX2-CC-BLUE即可,因此节拍发生器只需二节拍即可,即Q1通过反向器接74LS73的K端

可以看到,当个位满十的时候十位才进一,而当Q0Q1为01的时候显示为十位Q0Q1为10时显示为个位,故需要两个151根据Q0Q1的不同选择戈薇和十位。

实验结果:

七,实验心得

在做第一个实验时,由于没有看清楚题目,我试图用194级联产生一个八位的节拍发生器,后来直到看到用四联装的晶体管时才放弃了原来的想法。

在前三个试验中没有什么难点,只要熟悉了194,138,197的各种元器件,对着资料文档连就行了,唯一值得注意的是:这三个实验在节拍信号与数字信号的转化之间需要画出真值表,求出表达式。

第四个实验卡得比较久,首先要理清个位与十位和信号Q0Q1之间的关系,才能够想到要用153,其次是四节拍发生器要进行改动,不再是Q3通过反相器接K端,而是Q1,因为我们只需要两个数字,故信号只要01和10即可。最后,

由于是从00-59,故两个197要通过与非门接MR来限制数字范围。

八,相关文件

第九周第一个

第九周第二个

第九周第三个

第九周第四个

本文件

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

数电实验四——译码显示电路

一、实验题目 实验四译码显示电路 二、实验目的 掌握中规模集成译码器的逻辑功能和使用方法 熟悉数码管的使用 三、实验分析 1.按表(二)测试74LS194. 分析: 各工作状态下,Q0、Q1、Q2、Q3的输出: 置零Q0 = Q1 = Q2 = Q3 = 0 保持Q0n+1 = Q0n Q1n+1 = Q1n Q2n+1 = Q2n Q3n+2 = Q3n

右移Q0n+1 = D SR Q1n+1 = Q0n Q2n+1 = Q1n Q3n+1 = Q2n 左移Q0n+1 = Q1n Q1n+1 = Q2n Q2n+1 =Q3n Q3n+1 = D SL 并行送数Q0 = D0Q1= D1Q2 = D2Q3 = D3 通过对74LS194的测试,知道该芯片是上升沿触发的。 2.按图(五)实现四节拍顺序脉冲发生器 分析: Q0Q1Q2Q3S0S1K 0 1 1 1 1 1 0 1 0 1 1 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 1 0 1 1 1 1 1 0 ……… … … … … … … … … … … … Q0,Q1,Q2,Q3 一直进行: 0111->1011->1101->1110->0111->……

的循环。 3.按图(4)实现四位扫描译码显示器,采用内容(2)顺序脉冲作为Ds信号,8421BCD码用逻辑模拟开关输入。自行设计伪码灭灯电路,使得正常输入BCD码时输入为“1”伪码输入时灭灯。 分析: 伪码灭灯电路真值表 A3A2A1A0BI/RBO 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1

实验三 译码显示电路

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD码输入端,a、b、c、 d、e、f、g——译码输出端,输出“1"有效,用来驱动共阴极LED数码管。 错误!未找到引用源。- 灯测试输入端,错误!未找到引用源。=“0”时,译码输出全为“1” 错误!未找到引用源。- 灭零输入端,错误!未找到引用源。=“0”时,不显示多余的零。 错误!未找到引用源。/错误!未找到引用源。-作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

实验四译码显示电路

中山大学 学院:数据科学与计算机学院 实验题目:译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 74LS48, 74LS194 , 74LS73,74LS00,74LS197, 74LS138, 以及各种门电路 三、实验原理 1. 数码显示译码器 BCD码七段译码驱动器-----74LS48,用来驱动共阴极 LED数码管。 2. 扫描式显示 利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码 3. 四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图所示的选通信号。通常该类型的信号称为节拍信号。

图中 74LS194 为移位寄存器。它具有左移、右移, Cr 反 S1 S0 工作状态 0 X X 置零 1 0 0 保持 1 0 1 右移 1 1 0 左移 1 1 1 并行送数 并行送数、保持及清除等五项功能。其引脚图如图(六) 所示。其中Cr 为清除端,CP 为时钟输入端,S 0、S 1为状 态控制端,D SR 为右移数据串行输入端,D SL 为左移数据 输入端,D 0、D 1、D 2、D 3位并行数据输入端,QA 、QB 、 QC 、QD 为数据输出端。 节拍发生器工作开始时,必须首先进行清零。当 Cr 负脉冲过后 QA 、QB 、 QC 、QD 全为零。JK 触发器Q =1,因而 S 1=S 0=1,实现并行送数。 当第一个脉冲的上升沿到达后,置入 0111,CP 下降沿到达后Q =0,即 S 1=0, S 0=1,实现右移功能。在 CP 作用下输出依次为 1011,1101,1110,第四个 CP 下降沿到达后又使 Q=1,实现第二个循环。 四、实验内容 1.使用 74LS194,74LS73,74LS48,基础逻辑门和两个四联装的共阴极数码管, 实现本人学号的显示。(本人学号为 15352316) 解题思路: 通过 74LS194作为四节拍顺序脉冲发生器,输出分别连入两块 4位数码 管的位选端,做到控制数码管从第 1位到第 4位扫描的同时在第 5位到第 8 位扫描。确定了显示位置后,要产生与节拍发生器具有相同变换速度的两个 显示内容,分别作为前 4位学号和后 4位学号的段选段输入,则两个 74LS194 需要连接到同一个信号发生器(CLOCK),或者是只用一个 74LS194来实现。 而 74LS48的输入端 DCBA 对应到共阴极数码管的每个十进制数相对应的二

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

数电实验--译码显示电路

译码显示电路 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴), 段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD 码输入端,a、b、c、d、e、f、g——译码输出端,输出 “1"有效,用来驱动共阴极LED数码管。 - 灯测试输入端,=“0”时,译码输出全为“1” - 灭零输入端,=“0”时,不显示多余的零。 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出

的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。 3、四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阴极型,则选通信号是图(三)的反相。如图(五)所示就是这种节拍信号发生器。

实验四 译码显示电路

实验四译码显示电路 The Standardization Office was revised on the afternoon of December 13, 2020

实验四译码显示电路 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 三、实验步骤及结果 1、按表(二)测试74LS1940 测试结果略 2、实现四节拍顺序脉冲发生器 (1)实验电路图及74LS194功能表 图(一)表(二)74LS194功能表

(2)实验结果 (3)实验波形(Q3 Q2 Q1 Q0分别代表Q D Q C Q B Q A) Q3 Q3与Q2 Q3与Q1 Q3与Q0

3、按图(四)实现四位扫描译码显示电路。采用内容(2)顺序脉冲作为D s 信号。8421BCD 码用逻辑模拟开关输入。自行设计伪码灭灯电路,使正常输入BCD 码时输出为“1",伪码输入时灭灯。 (1) 设计伪码灭灯电路及其电路图 f(A)=(A3(A2’A1’)’)’ (2) 四位扫描译码显示电路原理图 A1A0 A3A2 00 01 11 10 00 1 1 1 1 01 1 1 1 1 11 0 0 0 0 10 1 1

(3)实验预期结果(LED显示)(由于实验箱损坏,实验时无法得到正确的LED数码管的显示数字,这里仅用预期结果表示) 4、自行设计电路在4联装LED数码管同时显示出4个不同的0-7的数字。 使用74LS48上的L1S(Gi)’(i=1,2,3,4)端口。要使第i个显示器显示i,接逻辑电路Yi,使得Yi只有在Ai表示i时为0,其他时候均为1,将之接为L1S(Gj)’=0(j=i,0表示有效),L1S(Gj)’=1(j≠i) 电路图如下:

2016译码显示电路实验报告

实验四译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门 三、实验预习 1. 复习有关译码显示原理。 2. 根据实验任务,画出所需的实验线路及记录表格。 四、实验原理 1. 数码显示译码器 (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。(注:实验室实验箱上数码管为共阴四位数码管) 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(寸和寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动) (c) 符号及引脚功能 图(一)LED数码管 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。

图(二)为74LS48引脚排列。其中 A 0、A 1、A2、A 3— BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。 注:在实验箱上使用了两个4位数码管,对应已经连接好74LS48,如图(四),实验时无需再连线,74LS48只保留引出了A 0、A1、A 2、A 3四个引脚 。在实验箱左上角的P10、P11、P12、P13(P20、P21、P22、P23)代表第一(二)块数码管的BCD 码(即A 0、A 1、A 2、A 3端)输入,DIG1~DIG8分别代表8位数码管的位选端。 2. 扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1低电平送出千位数,Ds2低电平送出百位数,……一般Ds 的低电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED (共阴)的发光段并连接至译码器的相应端,把数据输入的相应端与系统输出端相连,把各位选通端反向后接相应LED 的公共端。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

FPGA 显示译码电路实验报告

上海电力学院 实验报告 实验课程名称: FPGA应用开发实验实验项目名称:显示译码电路 班级: 姓名:学号: 成绩:________________

一、实验目的 1.实现常见英语字母显示。 2.实现十六进制计数显示。 3.加深PLD设计的过程,并比较原理图输入和文本输入的优劣。 二、实验原理 (1)显示简单字符 七段数码管显示电路如下图所示: 参考原理图: 图中包含一个七段解码器模块,c2~c0是解码器的3个输入,当输入值不同时,输出不同的字符。如表中所示,当输入值为100~111时,输出空格,即数码管全暗。七段数码管的不同段位用数字0~6表示,注意七段数码管是共阳极的,即各管段输入低电平时,数码管亮;否则数码管暗。 (2)显示0~9数字 在完成简单字符显示电路之后,设计一个用于显示0~9数字的七段数码管电路。电路图如下图所示,c3~c0是七段数码器的输入,当输入0000~1001时,则输出0~9,如表中所示;当输入1010~1111时,输出空格。 参考原理图:

(3)循环显示4个字符 电路的工作原理是,输入端U、V、W和X的输入值分别是000、001、010和011,通过s1和s0选择四个输入端其中一个作为七段解码器的输入值,从而显示H、L、E和O任一字符。 参考原理图: 三、实验步骤 (1)显示简单字符 <1>VHDL硬件描述语言为:

<2>功能仿真: <3>时序仿真: <4>引脚分配: <5>程序下载:

之后在DE2上验证,拨动开关,能显示对应的字母。(2)显示0~9数字 <1>VHDL硬件描述语言为: <2>功能仿真: <3>时序仿真:

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

计数译码显示电路实验

实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路就是由计数器、译码器与显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器就是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器与异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器与可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1就是它的逻 辑图。这个电路除了具有二进制加法计 数功能外,还具有预置数、清零、保持的 功能。图中LD就是预置数控制端,D、 R就是 C、B、A就是预置数据输入端, D 清零端,EP、ET就是计数器使能控制 端,RCO就是进位信号输出端,它的主要 功能有: ①异步清零功能

R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET信号外,与其它输入信号无若 D 关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、C、在 D B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保在 D 持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方式在 D 为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l 所示。 表5-1 74LS161的功能表 本实验所需计数器就是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即与反馈置数法。反馈置零法就是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,如图 清除端 D 5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反

实验译码显示电路

实验四译码显示电路 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD 码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511 (共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱 动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD码 输入端,a、b、c、d、e、f、g——译码输出端,输出“1" 有效,用来驱动共阴极LED数码管。 - 灯测试输入端,=“0”时,译码输出全为“1” - 灭零输入端,=“0”时,不显示多余的零。 /-作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。 3、四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阴极型,则选通信号是图(三)的反相。如图(五)所示就是这种节拍信号发生器。

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

相关文档
最新文档