2012数字逻辑实验指导书(检查版)

2012数字逻辑实验指导书(检查版)
2012数字逻辑实验指导书(检查版)

实验箱总体框图

实验箱使用说明

实验箱组成模块:

一、数字逻辑电路实验箱主电路板

二、RAM&ROM模块扩展板

三、A/D、D/A模块扩展板

四、数字钟模块扩展板

五、ALTERA 7128适配板

六、LATTICE 1032E适配板

各个组成模块的主要功能:

一、数字逻辑电路实验箱主电路板

1、信号源单元:

该模块为实验箱其它功能模块提供丰富的信号源。主要由固定频率信号源,模拟信号源,单次脉冲源组成。固定频率信号源包含各种频率的方波:1HZ,10HZ,100HZ,500HZ,1KHZ,10KHZ,100KHZ,200KHZ,500KHZ,1MHZ,2MHZ,4MHZ;模拟信号源包含三角波、正弦波和方波三种波形,通过跳线TX1,TX2,TX3改变电容的容值来改变模拟信号源各输出波形的频率段范围,电容有1000pf(102),0.01uf(103),0.1uf(104)可选,调节电位器W203可以细调各波形频率段范围的输出频率,另外调节电位器W206可改变模拟信号源正弦波和三角波的输出幅值(方波不可调),W204和W205调节正弦波的失真度,W202调节方波的占空比,正弦波和三角波的输出通过拨动“波形选择”开关来选择;单次脉冲源有正脉冲输出和负脉冲输出两种,按下S201就会产生一个正的或负的脉冲,它与按下的时间长短无关。当要使用信号源模块中的信号源时,只需要将其接入相应的输入端,对该模块上电即可。

2、逻辑电平输出

此模块的主要功能是提供高低电平。当需要一个高电平时,将拨位开关拨上即可,对应的发光二极管发光,同样需要一个低电平将拨位开关拨下即可。除了16个拨位开关提供的逻辑电平输出以外,本实验系统还提供由8个轻触按键开关组成的电平输出,将其按下输出为低电平,不按始终输出高电平。

3、点阵和喇叭

点阵为8×8点阵,即有8行和8列。它的发光规律为:列为低电平,行为高电平时,对应的点发光,例如第一列为低电平,第一行为高电平则对应点阵的最左上角的点亮,即第一行,第一列亮。喇叭是带有功率放大的,调节W501,可以改变输出功率的大小。

4、逻辑电平显示

它的主要作用是对输出电平的高低进行显示,如果发光二极管发光,则对应的输出为高电平,相反发光二极管不发光,则对应的输出为低电平。

5、可置换元件库

此元件库的最大特点是元器件的可置换性。元件库中提供了八组元器件的转接装置,您可以根据需要自行选择合适的元件插入库中相应的位置,然后通过实验系统自带的连接线引出。元器件库的可置换性为实验系统的操作使用提供了足够的空间和极度的方便性、灵活性。10K,100K两个多圈精密电位器使得实验箱的硬件资源更加丰富。

6、数码管模块

此模块包含两个部分:

共阴数码管和共阳数码管

此模块设计力求灵活可变,当需要两个共阴的数码管时,只需将共阳数码管拔起,换上共阴数码管即可,同样需要两个共阳数码管,只需将共阴数码管拔起,换上共阳数码管。另外还可以做共阴共阳数码管的单独实验。(实验箱上提供的TOS5101AH为共阴数码管,TOS5101BH为共阳数码管,它们的第3脚和第8脚为公共端。)

带驱动显示电路的数码管模块

此模块含有六个带有驱动显示电路的共阴极数码管。数码管由74LS248驱动,能够正常显示十进制数字。这种带有驱动显示电路的设计是在经过6.1模块的学习以后,节省实验时间的最好选择。

7、逻辑笔模块

此模块为使用者提供了一个非常方便而又实用的小工具——逻辑笔。此逻辑笔能够显示逻辑电平的高、低、高阻、脉冲等四种状态。当被测电平为逻辑高电平(高于2.4V)时,对应高电平红色指示灯发光。当被测电平为逻辑低电平(低于0.4V)时,对应低电平黄色指示灯发光。当被测输出端为高阻态(介于0.4~2.4V之间)时,对应的高阻态绿色指示灯发光。当被测端为脉冲序列时,高低电平指示灯依照脉冲频率,轮换闪烁发光。

二、RAM&ROM模块

此模块主要是关于大规模集成电路中的存储器实验,分为RAM实验和EEPROM实验。1、RAM实验

该实验是关于静态RAM即SRAM的实验,我们所要做的工作就是将数据按照一定的时序写入RAM中,然后按照一定的时序关系将其读出,这样来达到模拟实际应用中暂存数据的目的。通过这样一些操作来理解SRAM的使用方法和使用规则。

2、EEPROM实验

在EEPROM中,存有字符的程序,我们所要做的就是将这些数据正确的读出来并显示,因为在微电子高速发展的今天,ASIC已经应用到各个领域,对于初学这些知识的学生来说,首先要弄清楚它们的基本原理,在此基础上,再学习它们的使用方法。如果条件允许,可以自己编写字符,然后用专用的芯片烧录器将程序写入EEPROM中。

三、A/D、D/A模块

此模块包含D/A和A/D两个部分的实验。

1、D/A转换实验

此实验有两种数据的输入方式,一种是自己通过高低电平输入数据,另外一种就是从计算机的并行口由计算机通过软件发送数据。经过D/A转换后,观察显示的模拟输出量,并分析D/A转换的原理。

2、A/D转换实验

此实验有两种处理数据的方式,一种是自己输入一个模拟量,如直流信号源,正弦波,三角波等,经过A/D转换后用逻辑电平显示单元的发光二极管进行数据的显示,另外一种就是将A/D采集到的数据由计算机的并行口传送至计算机,通过软件处理后显示在一个界面上。注意做此实验时,转换时钟使用的是信号源单元的500KHZ时钟。

四、数字钟模块

数字钟实验由秒计时电路,分计时电路,小时计时电路,校时电路和报时电路组成。首先它们是一个一个单独的部分,只有读懂了他们的原理,才可能将其组成一个完整的数字钟。通过秒计时电路,分计时电路可以观察60进制的显示,小时计时电路是一个特殊的12进制计数器。

当时钟走的不准,就需要校准,在数字钟实验中,只对分和小时进行校准,它有快校准和慢校准两种方式。当时钟走到了整点,就会模仿电台,进行4低音1高音报时。

此模块在数字钟的校时和整点报警部分只给出了电路原理图。需要学生自行搭建电路调试,最后实现数字钟的整体组合。这样设计的目的是增加本实验系统的实践动手性,此实验也是本实验系统中,由浅入深的六个数字电路分析、设计与实现实验的开始。此部分实验渐进式为学生充分掌握数字系统的分析、设计与实现方法、手段提供了精心的设计,合理的深度安排,各有侧重的知识点。使得学生通过完成此部分实验,真正领会数字电路系统开发的精髓。

五、ALTERA 7128适配板

1、安装

将ALTERA 7128适配板装到实验箱主电路板的扩展区域上,注意保持线桥畅通以及稳固的连接,否则芯片无法上电,更无法下载,甚至有可能损坏器件。另外四周的四个孔插上固定用橡胶头,以免摆动,损坏芯片。

2、下载

在计算机的并口与7128适配板的并口之间连上实验箱所附25芯电脑线,然后上电,此时适配板上的电源指示灯会发光指示。下载时,按照实验指导书中的步骤操作。

六、LATTICE 1032E适配板

1、安装

将LATTICE 1032适配板装到实验箱主电路板的扩展区域上,注意保持线桥畅通以及稳固的连接,否则芯片无法上电,更无法下载,甚至有可能损坏器件。另外四周的四个孔插上固定用橡胶头,以免摆动,损坏芯片。

2、下载

在计算机的并口与1032适配板的并口之间连上实验箱所附25芯电脑线,然后上电,此时适配板上的电源指示灯会发光指示。下载时,按照实验指导书中的步骤操作。

数字逻辑电路实验箱扩展板

1、关于线桥结构的说明

本实验系统主电路板与扩展板(包括适配板)的连接方式采用线桥结构。主电路板有2个线桥接口,分别为线桥接口一和线桥接口二;扩展板与适配板可能用到1~2个线桥接口。每一个线桥接口有40路数据(或信号)通路,分别从扩展板的相应输出端连接至主电路板上的IC插座模块中。线桥接口一在每一个扩展板实验中都会用到,它的每个引脚端口引至IC插座模块的第四排,由IC-8(20个端口)、IC-9(14个端口)、A、B、C、D、+5V、GND 共四十个端口组成。其中IC-8和IC-9的34个端口具有复用性:在进行扩展板实验时它们是输入或输出端口,在进行基础性实验时,它们分别是20PIN和14PIN的IC插座。A、B、C、D四个端口为纯预留端口,它们只与线桥连接。+5V和GND端口已经与电源模块+5V和GND 连接,实验时不用另外连接;线桥接口一从上到下,从左往右引脚值依次递增。插孔数顺序:从DIP20(IC-8)的1脚开始到20脚,再从DIP14(IC-9)的1脚开始到14脚,然后是A、B、C、D、GND、+5V。线桥接口二则是专门为7128适配板、1032适配板预留的,它的每个引脚端口引至IC插座模块的第三排,由IC-7组成,同样IC-7的40个端口具有复用性。线桥接口二与DIP40周围的40个插孔一一对应。线脚接口二从上到下,从左往右引脚值依次递增。插孔数顺序:DIP40的1脚开始到40脚。

用户也可以根据实际需要自行设计扩展板,以配合实验系统提供的丰富的硬件资源和信号资

源综合使用。这样高二次开发性能的结构也正是本实验系统的设计初衷。

2、安装与连接

将数字逻辑电路实验箱扩展板或适配板装到实验箱主电路板的扩展区域上,注意保持线桥畅通以及稳固的连接(注意正反顺序不要接错),否则扩展板无法固定,更无法上电。另外四周的四个孔插上固定用的香蕉头,以免摆动,损坏芯片。做相关扩展板或适配板的实验时扩展板或适配板的线桥接口和主板的线桥接口通过实验箱提供的40芯连接线对应连接。

3、使用

将扩展板或适配板固定并连接后,实验操作全部在主电路板上完成,这样方便各种资源的利用和操作的集中性。需要观察的实验现象,部分有扩展板提供,部分通过线桥连接到主电路板上的硬件资源中显示,如果需要借助其他的测量仪器(如数字万用表、双踪示波器等)测量时,在主电路板中都专门留出测试点以供测量。

实验注意事项

1、电源的打开顺序是:先开交流开关(实验箱中的船形开关),再开直流开关,最后打开

各个模块的控制开关。电源关掉的顺序刚好与此相反。

2、切忌在实验中带电连接线路,正确的方法是断电后再连线,进行实验。

3、实验箱主电路板上所有的芯片出厂时已全部经过严格检验,因此在做实验时切忌随意插

拔芯片。

4、实验箱中的叠插连接线的使用方法为:连线插入时要垂直,插入后稍做旋转,切忌用力,

拔出时用手捏住连线靠近插孔的一端,然后左右旋转几下,连线自然会从插孔中松开、弹出,切忌用力向上拉线,这样很容易造成连线和插孔的损坏。

5、实验中应该严格按照老师的要求和实验指导书来操作,不要随意乱动开关,芯片及其它

元器件,以免造成实验箱的损坏。

6、IC插座的IC-7插座中,可以在上面的两个20PIN插座(J2、J3处)中插上相应芯片,

只需管脚对应即可;也可以插上40PIN芯片(J1、J3插座中插上相应芯片);但切忌在J1插座与J2插座之间装用芯片作实验,因为它们是连通的。

7、如果在实验中由于操作不当或其它原因而出现异常情况,如数码管显示不稳定、闪烁,

芯片发烫等,首先立即断电,然后报告老师,切忌无视现象,继续实验,以免造成严重后果。

8、实验中所用的元件都需要自行配置,元件名称都在实验设备与器件中写出,在实验中不

同公司和国家的同种功能的元件可替换,比如CD系列的与CC系的同各功能的集成芯片可替换。

数字逻辑电路实验基本知识

一、数字集成电路封装

中、小规模数字IC中最常用的是TTL电路和CMOS电路。TTL器件型号以74(或54)作前缀,称为74/54系列,如74LS10、74F181、54S86等。中、小规模CMOS数字集成电路主要是4XXX/45XX(X代表0-9的数字)系列,高速CMOS电路HC(74HC系列),与TTL兼容的高速CMOS电路HCT(74HCT系列)。TTL电路与CMOS电路各有优缺点,TTL 速度高,CMOS电路功耗小、电源范围大、抗干扰能力强。由于TTL在世界范围内应用极广,在数字电路教学实验中,我们主要使用TTL74系列电路作为实验用器件。

数字IC器件有多种封装形式。为了教学实验方便,实验中所用的74系列器件封装选用双列直插式。双列直插式封装有以下特点:

1、正面(上面)看,器件一端有一个半园的缺口,这是正方向的标志。缺口左边的引脚号

为1,引脚号按逆时针方向增加。双列直插式封装IC引脚数有8、14、16、20、24、28等若干种。

2、双列直插器件有两列引脚。引脚之间的间距是2.54毫米。两列引脚之间的距离能够稍作

改变,引脚间距不能改变。将器件插入实验台上的插座中去或者从插座中拔出时要小心,不要将器件引脚搞弯或折断。

3、74系列器件一般右下角的最后一个引脚是GND,左上角的引脚是Vcc。例如,14引脚

器件引脚7是GND,引脚14是Vcc;20引脚器件引脚10是GND,引脚20是Vcc。但也有一些例外,例如16引脚的双JK触发器74LS76,引脚13(不是引脚8)是GND,引脚5(不是引脚16)是Vcc。所以使用集成电路器件时要先看清楚它的引脚图,找对电源和地,避免因接线错误造成器件损坏。

本实验箱上的接线采用自锁紧插头、插孔(插座)。使用自锁紧插头、插孔接线时,首先把插头插进插孔中,然后将插头按顺时针方向轻轻一拧则锁紧。拔出插头时,首先按逆时针方向轻轻拧一下插头,使插头与插孔之间松开,然后将插头从插孔中拔出。不要使劲拔插头,以免损坏插头和连线。

必须注意,不能带电插、拔器件。插、拔器件只能在关断电源的情况下进行。

二、数字电路测试及故障查找、排除

设计好一个数字电路后,要对其进行测试,以验证设计是否正确。测试过程中,发现问题要分析原因,找出故障所在,并解决它。数字电路实验也遵循这些原则。

数字电路测试

数字电路测试大体上分为静态测试和动态测试两部分。静态测试指的是,给定数字电路若干组静态输入值,测试数字电路的输出值是否正确。数字电路设计好后,在实验台上连接成一个完整的线路。把线路的输入接电平开关输出,线路的输出接电平指示灯,按功能表或状态表的要求,改变输入状态,观察输入和输出之间的关系是否符合设计要求。静态测试是检查设计是否正确,接线是否无误的重要一步。

在静态测试基础上,按设计要求在输入端加上动态脉冲信号,观察输出端波形是否符合设计要求,这是动态测试。有些数字电路只需要进行静态测试即可,有些数字电路则必须进行动态测试,一般地说,时序电路应进行动态测试。

数字电路的故障查找和排除

在数字电路实验中,出现问题是难免的。重要的是分析问题,找出出现问题的原因,从而解决它。一般的说,有四个方面的原因产生问题(故障):器件故障、接线错误、设计错误和测试方法不准确。在查找故障过程中,首先要熟悉经常发生的典型故障。

(1)器件故障

器件故障是器件失效或器件接插问题引起的故障,表现为器件工作不正常。不言而喻,器件失效肯定会引起工作不正常,这需要更换一个好器件。器件接插问题,如管脚折断或者器件的某个(或某些)引脚没插到插座中等,也会使器件工作不正常。对于器件接插错误有时不易发现,需仔细检查。判断器件失效的方法是用集成电路测试仪测试器件。需要指出的是,一般的集成电路测试仪只能检查器件的某些静态特性。对负载能力等静态特性和上升沿、下降沿、延迟时间等动态特性,一般的集成电路测试仪不能测试。测试器件的这些参数,须使用专门的集成电路测试仪。

(2)接线错误

接线错误是最常见的错误。据有人统计,在教学实验中,大约70%以上的故障是由接线错误引起的。常见的接线错误包括忘记接器件的电源和地;连接线和插孔接触不良连线经多次使用后,有可能外面的塑料包皮完好,但内部线断;连线多接、漏接、错接;连线过长、过乱造成干扰。接线错误造成的现象多种多样,例如器件的某个功能模块不工作或者工作不正常,器件不工作或发热,电路中一部分工作状态不稳定等。解决方法大致包括:熟悉所用器件的功能及其引脚号,知道器件每个引脚的功能;器件的电源和地一定要接对、接好;检查连线和插孔是否接触良好;检查连线有无错接、多接、漏接;检查连线中有无断线。最重要的是接线前要画出接线图,按图接线,不要凭记忆随想随接;接线要规范、整齐,尽量走

直线、短线,以免引起干扰。

(3)设计错误

设计错误自然会造成与预想的结果不一致。原因是对实验要求没有吃透,或者是对所用器件的原理没有掌握。因此实验前一定要理解实验要求,掌握实验线路原理,精心设计。初始设计完成后一般应对设计进行优化。最后画好逻辑图以及接线图。

(4)测试方法不正确

如果不发生前面所述三种错误,实验一般会成功。但有时测试方法不正确也会引起观测错误。例如,一个稳定的波形,如果用示波器观测,而示波器没有同步,则造成波形不稳的假象。因此要学会正确使用所用仪器、仪表。在数字电路实验中,尤其要学会正确使用示波器。在对数字电路测试过程中,由于测试仪器、仪表加到被测电路上后,对被测电路相当于一个负载,因此测试过程中也有可能引起电路本身工作状态的改变,这点应引起足够的注意。不过,在数字电路实验中,这种现象很少发生。

当实验中发现结果与预期不一致时,千万不要慌乱。应仔细观测现象,冷静思考问题所在。首先检查仪器、仪表的使用是否正确。在正确使用仪器、仪表的前提下,按逻辑图和接线图逐级查找问题出现在何处。通常从发现问题的地方,一级一级向前测试,直到找出故障的初始发生位置。在故障的初始位置处,首先检查连线是否正确。前面已说过,实验故障绝大部分是由接线错误引起的,因此检查一定要认真、仔细。确认接线无误后,检查器件引脚是否全部正确插入插座中,有无引脚折断、弯曲、错插问题。确认无上述问题后,取下器件测试,以检查器件好坏,或者直接换一个好器件。如果器件和接线都正确,则需要考虑设计问题。

数字逻辑与数字电路实验项目

实验一加法器实验

一、实验名称:加法器实验

二、仪器、设备:

数字逻辑实验仪:一台

74LS86:一片

74LS08:一片

三、实验(设计)目的:

1.熟悉数字逻辑实验仪的用法

2.设计并实现一个半加器

四、实验步骤:

1.熟悉数字逻辑实验仪的各组成部分及其功能;

2.熟悉芯片的用法;

3.分析实验原理,确定实验方案;

4.按照实验方案对所使用的芯片进行连线;

5.检查线路连接;

6.接通电源,验证实验结果,填写实验数据;

7.若实验结果出现错误,则切断电源,重新检查线路和芯片,查找并纠正错误;

8.实验结果完全正确,则完成实验,关闭电源,整理实验操作台。

五、实验原理、数据(程序)记录:

1. 实验原理

①半加器真值表

被加数A 加数B 和S 进位C

0 0 0 0

0 1 1 0

1 0 1 0

1 1 0 1

②输出表达式

S=B

A ;C=AB

③实验电路图和芯片引脚图

2. 实验数据记录:

请同学们观察实验数据并填入下表:

被加数A 加数B

实验结果S C

0 0

0 1

1 0

1 1

实验二校验电路实验

一、实验名称:校验电路实验

二、仪器、设备:

数字逻辑实验仪:一台

74LS86:一片

三、实验(设计)目的:

设计并实现一个奇/偶校验电路

四、实验步骤:

1.熟悉芯片的用法;

2.分析实验原理,确定实验方案;

3.按照实验方案对所使用的芯片进行连线;

4.检查线路连接;

5.接通电源,验证实验结果,填写实验数据;

6. 若实验结果出现错误,则切断电源,重新检查线路和芯片,查找并纠正错误;

7. 实验结果完全正确,则完成实验,关闭电源,整理实验操作台。 五、实验原理、数据(程序)记录: 1. 实验原理

①奇/偶校验电路真值表

00 01 11 10 00 1 1 01 1 1 11 1 1 10 1

1

00 01 11

10 00 1 1 01 1 1 11 1 1 10

1

1

偶校验位P 真值表 奇校验位Q 真值表

②输出表达式

P=D C B A ⊕⊕⊕; Q=P ③实验电路图和芯片引脚图

2. 实验数据记录:

请同学们观察实验数据并填入下表:

输入信号 A B C D 输出信号 P Q 0000 0001 0010 0011

0100 0101 0110 0111 1000 1001 1010

AB CD AB

CD

1011

1100

1101

1110

1111

实验三组合电路实验

一、实验目的

1、掌握组合逻辑电路的分析与设计方法。

2、加深对基本门电路使用的理解。

二、实验原理

1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。例如,根据与门的逻辑表达式Z= AB =B

A 得知,可以用两个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。

2、分析组合逻辑电路的一般步骤是:

(1)由逻辑图写出各输出端的逻辑表达式;

(2)化简和变换各逻辑表达式;

(3)列出真值表;

(4)根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。

3、设计组合逻辑电路的一般步骤与上面相反,是:

(1)根据任务的要求,列出真值表;

(2)用卡诺图或代数化简法求出最简的逻辑表达式;

(3)根据表达式,画出逻辑电路图,用标准器件构成电路;

(4)最后,用实验来验证设计的正确性。

4、组合逻辑电路的设计举例

(1) 用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时,输出端

才为“1”。

设计步骤:

根据题意,列出真值表如表13-1所示,再填入卡诺图表13-2中。

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1

表13-1 表决电路的真值表

表13-2 表决电路的卡诺图

然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式:

+

+

Z+

=

ABC

ABD

CDA

BCD

?

=

?

A C D

A B D?

A B C

B C D

最后,画出用“与非门”构成的逻辑电路如图13-1所示:

图13-1 表决电路原理图

输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。

(2) 试用10线-4线优先编码器74LS147(相关资料见实验六)和基本门电路构成输出为8421BCD码并具有编码输出标志的编码器。

逻辑图为:

图13-2 输出为8421BCD码并具有编码输出标志的编码器的逻辑图

三、实验设备与器材

1、数字逻辑电路实验箱。

2、数字万用表。

3、芯片74LS147、74LS00、74LS02、74LS0

4、74LS10、74LS20、74LS86。

四、实验内容实验步骤

1、完成组合逻辑电路的设计中的两个例子。

2、设计一个四人无弃权表决电路(多数赞成则提议通过即三人以上包括三人),要求用

四2输入与非门来实现。

3、设计一个保险箱用的4位数字代码锁,该锁有规定的地址代码A、B、C、D四个输

入端和一个开箱钥匙孔信号E的输入端,锁的代码由实验者自编。当用钥匙开箱时,如果输入的四个地址代码正确,保险箱被打开;否则,电路将发出警报(可用发光二极管亮表示)。提示:4位数字代码锁,每位数字量为0-9,实现每位数字量的输入需要四个地址代码来译码,比如9需输入1001来表示1位数字代码,故需要16个输入量,共组成4位数字代码。

4、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。

要求:

(1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它将格雷

码转换成为8421码。

(2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图。

(3)连接电路并测试逻辑电路的功能。

五、实验预习要求

1、复习各种基本门电路的使用方法。

2、实验前,画好实验用的电路图和表格。

3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯片,

以备实验时用。

六、实验报告要求

1、将实验结果填入自制的表格中,验证设计是否正确。

2、总结组合逻辑电路的分析与设计方法。

实验四同步时序电路实验

一、实验目的

1、掌握四位双向移位寄存器的逻辑功能与使用方法。

2、了解移位寄存器的使用—实现数据的串行,并行转换和构成环形计数器。

二、实验原理

1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左右移的控制信号便可实现双向移位要求。根据寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。

本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图15-1所示。

图15-1 74LS194(或CC40194)的逻辑符号及引脚排列

其中SR为右移串行输入端,SL为左移串行输入端;功能作用如表15-1所示。

2、移位寄存器应用很广,可构成移位寄存器型计数器、顺序脉冲发生器和串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。

(1)环形计数器

把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如下图所示。

将输出端Q3与输入端SR相连后,在时钟脉冲的作用下Q

0Q

1

Q

2

Q

3

将依次右移。同理,

将输出端Q0与输入端SL相连后,在时钟脉冲的作用下Q

0Q

1

Q

2

Q

3

将依次左移。

(2)实现数据串、并转换

○1串行/并行转换器

串行/并行转换是指串行输入的数据,经过转换电路之后变成并行输出。下面是用两片74LS194构成的七位串行/并行转换电路。

电路中S0端接高电平1,S1受Q7控制,两片寄存器连接成串行输入右移工作模式。Q7是转换结束标志。当Q7=1时,S1为0,使之成为S1S0=01的串入右移工作方式。当Q7=0时,S1为1,有S1S0=11,则串行送数结束,标志着串行输入的数据已转换成为并行输出。

2 并行/串行转换器

并行/串行转换是指并行输入的数据,经过转换电路之后变成串行输出。下面是用两片74LS194构成的七位并行/串行转换电路,如图15-4所示。与图15-3相比,它多了两个与

非门,而且还多了一个转动换启动信号(负脉冲或低电平),工作方式同样为右移。 对于中规模的集成移位寄存器,其位数往往以4位居多,当所需要的位数多于4位时,可以把几片集成移位寄存器用级连的方法来扩展位数。 三、实验设备与器材

1、数字逻辑电路实验箱。

2、双踪示波器,数字万用表。

3、芯片74LS00、74LS0

4、74LS30(8输入与非门)、74LS194(或CC40194)。 四、实验内容及实验步骤

1、测试74LS194(或CC40194)的逻辑功能

参考图15-1连线,、S1、S0、SL 、SR 、D0、D1、D2、D3分别接至逻辑开关的输出插孔;Q0、Q1、Q2、Q3分别接至逻辑电平显示输入插孔。CP 接单次脉冲源。自拟表格,逐项进行测,并与实验指导书给出的功能表做对比。

注意:当接数码管时,因为所用数码管的驱动器4511是BCD 码驱动器,所以,当

0123Q Q Q Q 组成的16进制数大于9时,4511处于消隐状态,数码管不显示;要看大于9

的状态应该接四位发光二极管或用能显示十六进制的译码器,如MC14495,CD14495等。

2、环形计数器

自拟实验线路用并行送数法预置计数器为某二进制代码(如0100),然后进行右移循环,观察寄存器输出端状态的变化;再进行循环左移,观察寄存器输出端状态的变化,将结果记录下来。

3、实现数据的串行/并行转换

按图15-3连线,进行右移串入、并出实验,串入数据自定,自拟表格并记录下实验结果。 4、 实现数据的并行/串行转换

按图15-4连线,进行右移并入、串出实验,并入数据自定,自拟表格并记录下实验结果。

五、实验预习要求

1、复习有关寄存器的有关章节的内容,弄懂移位寄存器工作的基本原理。

2、查阅74LS194(或CC40194)的资料,熟悉其逻辑功能及引脚排列。

3、画好实验要用的表格。

六、实验报告要求

1、若要进行循环左移,图15-3、15-4接线应如何修改?

2、画出用两片CC40194构成的七位左移串/并行转换器电路。

3、画出用两片CC40194构成的七位左移并/串行转换器电路。

4、分析实现数据串/并转换器、并/串行转换器电路所得结果的正确性。

实验五异步时序电路实验

一、实验名称:异步时序电路实验

二、仪器、设备:数字逻辑实验仪:一台;74LS74:两片

三、实验(设计)目的:

设计并实现一个四位二进制异步计数器

四、实验步骤:

1.熟悉芯片的用法;

2.分析实验原理,确定实验方案;

3.按照实验方案对所使用的芯片进行连线;

4.检查线路连接;

5.接通电源,验证实验结果,填写实验数据;

6.若实验结果出现错误,则切断电源,重新检查线路和芯片,查找并纠正错误;

7.实验结果完全正确,则完成实验,关闭电源,整理实验操作台。

五、实验原理、数据(程序)记录:

1. 实验原理

①状态图和电路图

②74LS74功能说明:

正沿触发预置

PR

清除

CLR

时钟

CK

功能

双D 触发器1

1

1

1

1

1

×

×

1

清零(Q=0)

置1(Q=1)

接数(Q=D)

保持(Q=Q0)

2. 实验数据记录:

请同学们观察实验数据并填入下表:

节拍时钟信号

CP 实验结果显示Q4Q3Q2Q1

1 2 3 4 5 6 7 8 9

10

11

12

13

14

15

16

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

0000

0000

实验六电子器件测试实验

一、实验目的

1、测试TTL集成芯片中的与门、或门、非门、与非门、或非门与异或门的逻辑功能。

2、了解测试的方法与测试的原理。

二、实验原理

实验中用到的基本门电路的符号为:

在测试芯片逻辑功能时输入端用逻辑电平输出单元输入高低电平,然后使用逻辑电平显示单元显示输出的逻辑功能。

三、实验设备与器件

1、数字逻辑电路实验箱

2、芯片与门74LS08、或门74LS32、非门74LS04、与非门74LS00、或非门74LS02、异

或门74LS86各一片

四、实验预习及内容

1、掌握集成芯片74LS08、74LS3

2、74LS04、74LS00、74LS02、74LS86的管脚分布图。

2、分别列出芯片74LS08、74LS32、74LS04、74LS00、74LS02、74LS86的真值表。

五、实验步骤

1、依次选用芯片74LS08、74LS3

2、74LS04、74LS00、74LS02、74LS86做实验,在实验箱IC插座模块找到相应管脚数目的IC插座,插上并保持连接正常。

2、对照附录的相应芯片引脚图,按照芯片的管脚分布图接线,注意确保电源VCC(+5V)输入脚和地输入脚的连接,芯片输入端连接到逻辑电平输出单元,通过逻辑电平输出单元控制输入电平,当逻辑输出高电平时对应的发光二极管亮,否则不亮。芯片输出端连接到逻辑电平显示单元,输出高电平时对应的发光二极管亮,否则不亮。

3、按照芯片各逻辑门的真值表检验芯片的逻辑功能芯。

六、实验报告要求

1、画好实验中各门电路的真值表表格,将实验结果填写到表中。

2、根据实验结果,写出各逻辑门的逻辑表达式,并判断逻辑门的好坏。

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

流体传动与控制2012实验指导书

《液压传动》实验指导书刘玲腾刘继忠编 南昌大学机电工程学院

实验注意事项 一、液压实验是学习液压传动课程的一个重要组成环节,它可以帮助学生加深理解液压传动中的基本概念,巩固加深课堂教学内容;掌握一般液压元件和回路的实验方法及操作技能;增强实际动手能力,培养学生分析问题和解决问题的能力。因此学生对每次实验必须认真对待。 二、在每次实验前,要认真复习课程有关的内容并预习实验指导书。 三、实验前,应在实验台旁熟悉实验设备和仪器、操纵、测量等方法。在教师指导下,按实验指导书中的内容、步骤进行。 四、在实验室内必须遵守实验室有关规章制度。 五、实验完毕,应整理好场地和仪器、工具,切断电源,认真填写实验报告,按期交指导教师批阅。 六、实验成绩作为本课考核成绩的一部份。

目录 一、液压泵拆装 (1) 二、液压阀拆装 (7) 三、节流调速回路性能实验 (10) 四、液压传动系统回路组装实验 (13)

实验一液压泵拆装 一、实验目的 液压元件是液压系统的重要组成部分,通过对液压泵的拆装可加深对泵结构及工作原理的了解。并能对液压泵的加工及装配工艺有一个初步的认识。 二、实验用工具及材料 内六角扳手、固定扳手、螺丝刀、各类液压泵、液压阀及其它液压元件 三、实验内容及步骤 拆解各类液压元件,观察及了解各零件在液压泵中的作用,了解各种液压泵的工作原理,按一定的步骤装配各类液压泵。 1.轴向柱塞泵 型号:cy14—1型轴向柱塞泵(手动变量) 结构见图1—1 图1-1 (1)实验原理 当油泵的输入轴9通过电机带动旋转时,缸体5随之旋转,由于装在缸体中的柱塞10

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

工程热力学实验 二氧化碳PVT实验指导书(2012.06.07)

二氧化碳临界状态观测及p-v-T关系的测定 一、实验目的 1. 观察二氧化碳气体液化过程的状态变化和临界状态时气液突变现象,增加对临界状态概念的感性认识。 2. 加深对课堂所讲的工质的热力状态、凝结、汽化、饱和状态等基本概念的理解。 3. 掌握二氧化碳的p-v-T关系的测定方法,学会用实验测定实际气体状态变化规律的方法和技巧。 4. 学会活塞式压力计、恒温器等部分热工仪器的正确使用方法。 二、实验原理 当简单可压缩系统处于平衡状态时,状态参数压力、温度和比容之间有确切的关系,可表示为: (,,)=0 (7-1-1) F p v T 或 =(,) (7-1-2) v f p T 在维持恒温条件下、压缩恒定质量气体的条件下,测量气体的压力与体积是实验测定气体p-v-T关系的基本方法之一。1863年,安德鲁通过实验观察二氧化碳的等温压缩过程,阐明了气体液化的基本现象。 当维持温度不变时,测定气体的比容与压力的对应数值,就可以得到等温线的数据。 在低于临界温度时,实际气体的等温线有气、液相变的直线段,而理想气体的等温线是正双曲线,任何时候也不会出现直线段。只有在临界温度以上,实际气体的等温线才逐渐接近于理想气体的等温线。所以,理想气体的理论不能说明实际气体的气、液两相转变现象和临界状态。 二氧化碳的临界压力为73.87bar(7.387MPa),临界温度为31.1℃,低于临界温度时的等温线出现气、液相变的直线段,如图1所示。30.9℃

是恰好能压缩得到液体二氧化碳的最高温度。在临界温度以上的等温线具有斜率转折点,直到48.1℃才成为均匀的曲线(图中未标出)。图右上角为空气按理想气体计算的等温线,供比较。 1873年范德瓦尔首先对理想气体状态方程式提出修正。他考虑了气体分子体积和分子之间的相互作用力的影响,提出如下修正方程: ()()p a v v b RT + -=2 (7-1-3) 或写成 pv bp RT v av ab 320-++-=() (7-1-4) 范德瓦尔方程式虽然还不够完善,但是它反映了物质气液两相的性质和两相转变的连续性。 式(7-1-4)表示等温线是一个v 的三次方程,已知压力时方程有三个根。在温度较低时有三个不等的实根;在温度较高时有一个实根和两个虚根。得到三个相等实根的等温线上的点为临界点。于是,临界温度的等温线在临界点有转折点,满足如下条件: ( )??p v T =0 (7-1-5)

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

201209级《发动机原理》实验指导书.

《发动机原理》课程实验指导书彭辅明袁守利编 汽车工程学院 2012年4月

前言 1.实验总体目标、任务与要求 1、巩固所学的理论知识、加深对内燃机性能实验的认识和了解。 2、掌物内燃机性能试验和某些专项试验的试验方法。 3、了解内燃机试验台架的基本组成和常用测试仪表的结构及其工作原理,并掌物其使用方法。 4、掌物对实验数据进行处理以及对实验结果进行分析的基本方法。 2.适用专业 热能与动力工程、车辆工程、汽车服务工程 3.先修课程 《发动机构造》、《热能与动力机械测试技术》。 4.实验项目与学时分配(见表一) 5. 实验改革与特色 通过学生在实验过程中的实际操作,培养学生的实验技能和实际动手的能力,进一步加深对理论知识的掌物和理解。

实验一发动机速度特性 1、掌物发动机速度特性的试验方法。 2、学会对实验数据进行处理,对实验结果进行分析;并绘制发动机速度特性曲线图。 二、实验条件 1、东南4A91电控汽油发动机机(Pemax=77Kw/6000r/min)一台 2、CW150型电涡流测功机一台 3、FST2S发动机数控试验台一台 3、FCM-D转速油耗测量仪一台 4、温度计一只 5、大气压力计一只 6、93#车汽油 20升 三、实验原理 发动机速度特性:在发动机油门开度一定(部分开度或全开)的情况下,研究其功率Pe、扭矩Ttq、耗油量B及燃油消耗率be与转速n之间的关系。 四、实验内容和要求 1、调整测功机负荷及指挥全组协调动作,一人;测功机负荷的调整应均匀、准确,尽量避免大幅度增加或减小测功机负荷,造成发动机的转速剧烈波动。 2、调节、监视发动机油门,一人;当发动机出现异常情况时应立即减小或关闭发动机油门。 3、测量发动机转速和油耗,一人;测量转速时,应注意转速的上下波动情况,当转速的波动值超过±20r/min,该组实验数据应视为无效并重做。 4、调节,监视发动机冷却水出水温度,一人;保持发动机冷却水出水温度稳定在80±5℃范围内,出现气阻现象(无冷却水排除或冷却水出水温度超过100℃),应立即报告,以便及时停机。 5、监视发动机机油压力、温度,一人;出现异常情况应及时报告。 6、记录发动机扭矩(测功机读数)Ttq、发动机转速n、耗油质量△m和耗油时间△t, 一人;实验数据记录应准确无误。 7、绘制实验监督曲线,一人;当发现实验过程中因某些特殊原因而引起误差过大的点,应及时指出,以便补测校正。 五、实验方法与步骤 1、按照附录一《发动机台架试验安全操作规范》,作好试验前的准备工作。确认发

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

电子_基础实验指导书 2012

电子科技专业基础实验 电子科学与技术学院编 2012.1

电子科技专业基础实验 1 微波基本测量 (1) 2 二维电场的模拟实验 (7) 3 电磁波的布拉格衍射实验 (12) 4 射频图像传输 (16) 5 偏振光实验 (23) 6 光源光谱特性的测量 (29) 7 光磁共振实验 (32) 8 半导体光电导实验 (41) 9 光栅实验 (47) 10 单色仪的标定实验 (51) 11 迈克尔逊干涉仪 (54) 12 半导体光伏效应实验 (60) 13 半导体霍尔效应实验 (66) 14 PN结正向压降温度特性实验 (72) 15 半导体少数载流子寿命测量 (77) 16 四探针测电阻率实验 (80)

实验1 微波基本测量技术 一.实验目的 1. 学习微波的基本知识; 2. 了解波导测量系统,熟悉基本微波元件的作用; 3.了解微波在波导中传播的特点,掌握微波基本测量技术; 4.掌握大、中、小电压驻波系数的测量原理和方法; 5.学习用驻波测量线校准晶体检波器特性的方法。 二.实验原理 (一)微波基本知识 在微波波段,随着工作频率的升高,导线的趋肤效应和辐射效应增大,使得普通的双导线不能完全传输微波能量,而必须改用微波传输线。常用的微波传输线有平行双线、同轴线、带状线、微带线、金属波导管及介质波导等多种形式的传输线,本实验用的是矩形波导管,波导是指能够引导电磁波沿一定方向传输能量的传输线。 传输线的特性参量与工作状态在波导中常用相移常数。波导波长,驻波系数等特性参量来描述波导中的传输特征,对于一个横截面为b a ×的矩形波导中的TE 10波: 自由空间波长 /c f λ=, 截止(临界)波长 2c a λ=, 波导波长 /g λλ= (1) 相移常量 2/g βπλ=,, 反射系数 Γ=E 反/E 入 驻波比 max min /E E ρ=, 由此可见,微波在波导中传输时,存在着一个截止波长c λ,波导中只能 传输λ<c λ的电磁波。波导波长g λ>自由空间波长λ。 在实际应用中,传输线并非是无限长,此时传输线中的电磁波由人射波 和反射波迭加而成,传输线中的工作状态主要决定于负载的情况。 (1)波导终端接匹配负载时,微波功率全部被负载吸收,无反射波, 波导中呈行驻波状态.此时|Γ|=0,ρ=l 。

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

单片机原理实验指导书(2012.10)

《单片机原理》实验指导书 计算机科学与技术系2012年8月

目录 第一部分单片机仿真实验 (1) 实验一:流水灯实验 (1) 实验二:中断实验 (4) 实验三:定时器中断实验 (6) 实验四:串行口实验 (9) 实验五:矩阵式键盘输入识别 (13) 实验六:LCD循环显示设计 (19) 第二部分单片机硬件实验............................错误!未定义书签。第一章试验箱系统概述 ...................................错误!未定义书签。 一、系统地址分配........................................... 错误!未定义书签。 二、系统接口定义........................................... 错误!未定义书签。 三、通用电路简介........................................... 错误!未定义书签。第二章实验指导...............................................错误!未定义书签。实验七P1口亮灯和P1口加法器实验........... 错误!未定义书签。实验八简单I/O口扩展(选作).................. 错误!未定义书签。实验九8255控制交通灯................................ 错误!未定义书签。实验十128*64LCD液晶显示 .......................... 错误!未定义书签。

第一部分单片机仿真实验 实验一:流水灯实验 一、实验目的: 通过对P3口地址的操作控制8位LED流水点亮,从而认识单片机的存储器。 二、实验原理图 实验参考电路图如下: 三、参考实验程序 //流水灯实验 #include //包含单片机寄存器的头文件 sfr x=0xb0; //P3口在存储器中的地址是b0H,通过sfr可定义8051内核单片机 //的所有内部8位特殊功能寄存器,对地址x的操作也就是对P1口的

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

2012-AutoCAD实验指导书

实验一熟悉AutoCAD基本环境及设置 一实验目的 1、熟悉AutoCAD的软硬件环境、启动、退出、文件管理等方法; 2、熟悉AutoCAD的工作界面、系统配置的修改等; 3、熟悉键盘和鼠标输入命令的方法。 二实验内容 1、认识AutoCAD的硬件及设备配置,学习启动、退出AutoCAD; 2、练习文件管理,包括新建文件、打开旧文件、保存、另存文件等操作; 3、练习用“选项”对话框进行常用的缺省配置修改; 4、练习用键盘和鼠标输入命令,学习工作界面中各部分功能区的使用。 三实验过程及说明 1.启动AutoCAD 进入WindowsXP开始界面后,用鼠标双击桌面上AutoCAD图标,或执行“开始”菜单中AutoCAD命令启动AutoCAD。 2.进入AutoCAD后基本练习 1)新建一文件,分别用“从草图开始”、“使用样板”、“使用向导”三种创建方法; 2)对应三种不同的创建新图的方法,练习绘图界限(LIMITS)、绘图单位(UNITS)等基本设置的操作; 3)熟悉工作界面,主要包括:标题行、下拉菜单、功能区、绘图区、工具栏(标准、绘图屏幕菜单)、命令提示区、状态栏、滚动条、十字光标等,如图1-1所示; 图1-1 AutoCAD 界面的构成

4)了解系统配置选项的修改,通过“选项”对话框练习常用的三项修改:绘图背景色、按实际情况显示线宽、自定义右键功能;(选择“显示”选项卡,修改绘图区背景颜色为白色;选择“用户系统配置”选项卡,设置线宽随图层、按实际大小显示;选择“用户系统配置”选项卡,自定义右键功能。) 说明:其它选项的缺省配置是否修改,根据具体情况自定。 3.退出AutoCAD 退出时,切不可直接关机(会丢失文件),应按下列方法之一进行: 1)从下拉菜单中选取:“文件”→“退出” 2)从键盘键入:EXIT或QUIT 3)单击工作界面标题行右边的“关闭”按钮 如果当前图形没有全部存盘,输入退出命令后,AutoCAD会弹出“退出警告”对话框,操作该对话框后,方可安全退出AutoCAD。 4.用键盘和鼠标练习输入命令LINE、ERASE、UNDO、REDO、ESC等。 1)用LINE命令画几组直线。通过练习要熟悉“C”选项和“U”选项的应用; 2)用ERASE命令擦除。通过它要逐步熟悉3种选择实体的方式;(窗交,框选,单选) 3)用UNDO(U)命令撤销前3个命令,用REDO返回一个命令; 4)用ESC终止命令,回到“Command:”提示符下。 注意: 所有命令在“Command:”提示符下输入,可用键盘直接输入命令名,也可再下拉菜单、功能区或屏幕菜单中直接点取;操作命令中需要选项时,请单击右键,使用右键菜单选项。 四实验题目 1)用NEW命令新建一张图(图幅为A3),进行基本设置后,运用键盘、鼠标等输入命令画图。以实验报告形式说明你新建该图形的步骤及设置情况。 2)用QSAVE命令指定路径,已“一面视图”为名保存。 3)用SAVE AS(另存为)命令将图形另存到软盘上或硬盘上的另一处。 4)关闭当前图形,用OPEN命令打开图形文件“一面视图”。 5)练习结束,关闭当前图形,正确退出AutoCAD。 6)以实验报告形式回答以下问题: (1)AutoCAD的操作界面由哪几部分组成?各部分的作用是什么? (2)如何设置作图窗口的颜色和十字光标的大小? (3)图形文件的“Save”(保存)与“Save as”(另存)有何区别?

相关文档
最新文档