MIL-STD-1553B数据总线协议

1 绪论

1.1 引言

随着科技的发展及战争的需要,战车、舰船、飞机等武器平台上电子设备越来越多,越来越复杂,于是将电子设备按一定的协议联网加以有效地综合,使之达到资源和功能共享已成为必然的要求。电子综合的支撑技术是联网技术,而武器平台上的联网技术不同于一般的局域网络技术,它特别强调网络的可靠性和实时性[1]。1553B总线最初是在七十年代末为适应飞机的发展由美国提出的飞机部电子系统联网标准,其后由于它的高可靠性和灵活性而在其他的机动武器平台上也得到了较广泛的应用。

MIL-STD-1553B是70年代发展起来的“飞机部时分制指令响应式多路传输数据总线”,它具有可靠性高、速度快、反应灵敏、双冗余等特点,特别适用于快速反应武器系统[2]。虽然其传输速率只有1Mbit/s,但它并没有因后来发展起来的高速网遭淘汰, 而是随微电子技术和计算机技术的发展而不断提高。世界上许多集成电路公司和厂家都不断开发和生产集成度更高、通用性更强的1553B总线系列器件。这些器件优化了1553B总线通讯接口,减轻了主机的通讯负担,从而提高了系统的可靠性[3]。

1.2国外1553B总线研究发展状况及涉及领域

随着时代的发展,对于增加飞机推力、改善气动性能等技术的成熟,航空系统设计任务的重点,逐渐集中在飞机部的电子设计上。与此同时,信息工程、计算机技术、控制技术、电子技术都有了长足的进步。航空电子综合化技术就是在这样的背景下产生的[3]。技术的核心问题是实现信息采集、处理、分配、存储的一个系统。MIL-STD-1553B多路总线是综合化航空电子系统设备间的数据交换纽带,它将所有的综合化航空电子子系统连接在一起,共同构成具有特殊性的分布式计算机网络,从而实现综合系统部的信息共享和系统综合化控制。

航空电子系统中,不仅需要不同的硬件接口来应付不同的航空设备,而且航空设备部接口连线也十分复杂和混乱,可靠性能也不高[3]。为了解决这个状况,提出了在航空电子系统中使用数据总线,使得不同的航空电子设备之间能够互相通信。美国军方提出的MIL-STD-1553B数据总线协议就是在航空电子综合化技术发展过程中形成的现代航空机载系统设备互联的网络接口标准。从推出到现在,经过三十多年的发展,1553B总线技术也在不断的改进。目前1553B总线已经成为在航空航天领域占统治地位的总线标准。

国对1553B 总线协议进行了跟踪研究,制定了相应的国军标GJB289A,科研院所和相关单位在这方面做了大量的工作,也取得了一定的成绩。如恩菲特公司自主研发的eph31580 型芯片[1]。如图1.2所示:

图1.1恩菲特eph31580 型芯片

1.3本论文的研究容及安排

从接口实现的具体功能出发,采用自顶向下的设计思想,结合大型可编程逻辑器件的特点,提出了一种基于FPGA的1553B总线接口系统的设计方法。对系统进行了总体分析及结构设计,使用硬件描述语言VHDL对设计进行了描述,最后在FPGA 上进行了实现。

1553B总线系统的关键核心部分是总线接口芯片,在充分检索相关资料后,对MIL-STD-1553B数据总线协议进行分析研究,设计基于FPGA的1553B总线控制器,并要设计预留工作方式选择信号及控制信号,以可将总线控制器、远程终端接口、总线监视器结合起来以达到通用航空总线接口的功能。完成相应的软件系统语言程序最后经综合和仿真验证后,在特定的FPGA中实现。

采取的设计步骤安排如下:

第1章:主要阐述了MIL-STD-1553B总线技术的国外发展情况以及相关领域的运用。第2章:查找一些MIL-STD-1553B数据总线协议的资料,并对其进行初步的制定步骤。

第3章:结合相关书籍和设计方法对1553B总线接口提出总体的设计方案。

第4章:应用1553B总线接口的具体设计与实现FPGA,并最后对其中每个小模块的设计作详细的设计。

第5章:1553B总线接口试验分析,主要介绍总线接口芯片测试系统设计及其测试的

结果分析以及系统性能分析。

第6章:总结了本文的研究设计工作,并对将来进一步的工作做了展望。

2 MIL-STD-1553B数据总线协议简介

2.1 1553B数据总线的应用和特点

由于其传输速率高,设备之间连接简单灵活,噪声容限高,通信效率高而且可靠,为美军标所采用,将其作为机载设备相互通信的总线标准。从推出到现在,经过三十年的发展,1553B总线技术也在不断的改进。目前1553B总线已经成为在航空航天领域占统治地位的总线标准[4]。

MIL-STD-1553B的其可靠性、稳定性的特点,在美国等过早已得到认可,且对1553B的使用,已由军飞机的使用扩展到坦克、船舶、卫星、武器等领域。

图2.1 1553B航空总线的大体应用领域

综合起来1553B总线有以下几个优良特点[5][6]:

(1)实时性好,1553B总线的传输码速率为1Mbps,每条消息最多包含32个字,传

输一个固定不变的消息所需时间短。

(2)合理的差错控制措施和特有的方式命令,为确保数据传输的完整性,1553B采用了合理的差错控制措施——反馈重传纠错方法。当总线控制器BC向某一终端RT发出一个命令或发送一个消息时,终端应在给定的响应时间发回一个状态字,如果传输的消息有错,终端就拒绝发回状态字,由此报告上次消息传输无效。而方式命令不仅使系统能完成数据通讯控制任务,还能调查故障情况并完成容错管理功能。

(3)总线效率高,总线形式的拓扑结构对总线效率的要求比较高,为此1553B对涉及总线效率指标的某些强制性要求如命令响应时间、消息间隔时间以及每次消息传输的最大和最小数据块的长度都有严格限制。

(4)具有命令/响应以及“广播”通讯方式,BC能够以“广播”方式向所有RT发送一个时间同步消息,这样总线上的所有消息传输都由总线控制器发出的指令来控制,相关终端对指令应给予响应并执行操作。这种方式非常适合集中控制的分布式处理系统。

2.2 编码方式

1553B总线上数据是以双相曼彻斯特编码的方式传输的。曼彻斯特码是一种广泛应用于航空电子综合系统中的、线数据传输的双极性码。它在每个码位中点存在一个跳变。1信号是一个由l到0的负跳沿,而O信号是由0到1的正跳沿。它本身包含了自定时的信息,因此它不需要独立的信道来传输位定时信息,它可以直接从数据中分离出定时时钟,在传输代码信息的同时,也将时钟同步信号一起传输到对方,每位编码中有一跳变,不存在直流分量,因此具有自同步能力和良好的抗干扰性能[7]。

它是主要用在数据同步传输中的一种编码方式。图2.2给出了ManehesterIx 编码的方式

同时此种编码方式还能与变压器祸合相协调,十分适合用在变压器祸合形式,长度为6m(20英尺)左右的场合,在航空电子综合系统中,它是最主要的编码形式[8]。

图2.2 Manehester11编码

2.2.1 FPGA的部结构应用特点:

1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。

2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5) FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容[9]。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是由存放在片RAM中的程序来设置其工作状态的,因此,工作时需要对片的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片编程RAM中,配置完成后,FPGA 进入工作状态。掉电后,FPGA恢复成白片,部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

2.3 硬件描述语言(HDL)

硬件描述语言HDL(Hardware Description Language )是一种用形式化方法来描述数字电路和数字逻辑系统的语言。数字逻辑电路设计者可利用这种语言来描述自己的设计思想,然后利用EDA工具进行仿真,再自动综合到门级电路,最后用ASIC 或FPGA实现其功能[7]。

举个例子,在传统的设计方法中,对2输入的与门,我们可能需到标准器件库中调个74系列的器件出来,但在硬件描述语言中,“&”就是一个与门的形式描述,“C = A & B”就是一个2输入与门的描述。而“and”就是一个与门器件[10]。

硬件描述语言发展至今已有二十多年历史,当今业界的标准中(IEEE标准)主要有VHDL和Verilog HDL 这两种硬件描述语言。

2.3.1 VeriIog HDL

在数字电路设计中,数字电路可简单归纳为两种要素:线和器件。线是器件管脚之间的物理连线;器件也可简单归纳为组合逻辑器件(如与或非门等)和时序逻辑器件(如寄存器、锁存器、RAM等)。一个数字系统(硬件)就是多个器件通过一定的连线关系组合在一块的。因此,Verilog HDL的建模实际上就是如何使用HDL语言对数字电路的两种基本要素的特性及相互之间的关系进行描述的过程。

随着Verilog-XL算法的成功,Verilog HDL语言得到迅速发展。1989年,Cadence公司收购了GDA公司,Verilog HDL语言成为Cadence公司的私有财产。1990年,Cadence公司决定公开VerilogHDL语言,于是成立了OVI(Open Verilog International)组织,负责促进Verilog HDL语言的发展。基于Verilog HDL 的优越性,IEEE于1995年制定了Verilog HDL的IEEE标准,即Verilog HDL1364-1995;2001年发布了Verilog HDL 1364-2001标准。在这个标准中,加入了Verilog HDL-A标准,使Verilog有了模拟设计描述的能力[11][12]。Verilog HDL 适合算法级(Algorithm)、寄存器传输级(RTL)、逻辑级(Logic)、门级(Gate)和板图级(Layout)等各个层次的设计和描述[11]。具体如表2.2所示。

2.3.2 VHDL语言

VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用,它自身必然具有很多其他硬件描述语言所不具备的优点[1]。归纳起来VHDL 语言主要具有以下优点:

(1) VHDL 语言功能强大,设计方式多样

VHDL 语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时,它还具有多层次的电路设计描述功能。此外,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样,既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法。

(2) VHDL 语言具有强大的硬件描述能力[10]

VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。

(3) VHDL 语言具有很强的移植能力

VHDL 语言很强的移植能力主要体现在:对于同一个硬件电路的VHDL 语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。

(4) VHDL 语言的设计描述与器件无关

采用VHDL 语言描述硬件电路时,设计人员并不需要首先考虑选择进行设计的

器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化,而不需要考虑其他的问题。当硬件电路的设计描述完成以后,VHDL 语言允许采用多种不同的器件结构来实现。

(5) VHDL 语言程序易于共享和复用

VHDL 语言采用基于库( library) 的设计方法。在设计过程中,设计人员可以建立各种可再次利用的模块,一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计,而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块,将这些模块存放在库中,就可以在以后的设计中进行复用[11]。

由于VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言,因此它可以使设计成果在设计人员之间方便地进行交流和共享,从而减小硬件电路设计的工作量,缩短开发周期。

2.3.3 veriIog HDL和VHDL的比较

目前最主要的硬件描述语言是VHDL和Verilog HDL。VHDL发展的较早,语法严格,而Verilog HDL是在C语言的基础上发展起来的一种硬件描述语言、语法较自由(目前ASIC设计多采用Verilog语言)[10]。VHDL和VerilogHDL两者相比,VHDL 是一种高级的描述语言,通常更适合行为级和RTL级的描述,可以用于高级建模,而VerilogHDL则是一种比较低级的描述语言,更适合于RTL级,尤其是门级电路的描述,易于控制电路的资源。另外,从两种语言推进的过程来看,VHDL语言偏重标准化考虑,而Verilog语言由于是在Cadence扶植下针对EDA工具开发的硬件描述语言,因此,跟EDA工具的结合更为密切。学习VHDL比学习Verilog难一些,但Verilog自由的语法也使得的初学者容易上手但也容易出错。国外电子专业很多在本科阶段教授VHDL,在研究生阶段教Verilog。从国来看,VHDL的参考书很多,便于查找资料,而VerilogHDL的参考书则很少,这给学习Verilog HDL带来不少困难。结合两者的优点,经常采用VHDL和VerilogHDL两种混合语言进行电子线路描述,使得利用语言描述硬件的效果更佳[12][13]。

2.4 1553字结构

1553B总线协议规定,总线上数据是以曼彻斯特编码的字格式进行传输的。协议规定每次信息传输包括命令字、数据字(指令字和状态字)等几种字格式。每种字的字长为20位,因为总线数据传输速率是1Mb1t/s,所以传输每一位需要1us的时间,传输一个完整的字需要20us。这几种字格式的有效信息位是16位,每个字的前3位为同

综合解析1553B协议

目录 一、什么是1553B总线 二、1553B总线的特点 三、1553B总线消息传输机制 四、1553B总线在武器通信中的应用 五、1553B总线的优点 六、1553B技术发展情况

一、什么是1553B总线 1553B总线是MIL-STD-1553总线的简称,其中B就是BUS,MIL-STD-1553B总线是飞机内部时分制命令/响应式多路复用数据总线。1553B数据总线标准是20世纪70年代由美国公布的一种串行多路数据总线标准。1553B总线能挂31个远置终端,1553B总线采用指令/响应型通信协议,它有三种终端类型:总线控制器(BC)、远程终端(RT)和总线监视器(BM);信息格式有BC到RT、RT到BC、RT到RT、广播方式和系统控制方式;传输媒介为屏蔽双绞线,1553B总线耦合方式有直接耦合和变压器耦合;1553B总线为多冗余度总线型拓扑结构,具有双向传输特性,其传输速度为1Mbps传输方式为半双工方式,采用曼彻斯特进行编码传输。采用这种编码方式是因为适用于变压器耦合,由于直接耦合不利于终端故障隔离,会因为一个终端故障而造成整个总线网络的完全瘫痪,所以其协议中明确指出不推荐使用直接耦合方式。 在20世纪60年代以前,飞机机载电子系统没有标准的通用数据通道,各个电子设备单元之间连接往往需要大量的电缆。随着机载电子系统的不断复杂化,这种通信方式所用的电缆将会占用很大的空间和重量,而且对传输线的定义和测试也较为复杂,费用较高。为了解决这一问题,美国SAE A2K委员会在军方和工业界的支持下于1968年决定开发标准的信号多路传输系统,并于1973年公布了MIL-STD-1553B标准。1973年的1553B多路传输数据总线成为了未来军机将采用的技术,它取代了在传感器、计算机、指示器和其他飞机设备间传递数据的庞大设备,大大减少了飞机重量,并且使用简单、灵活,此标准的修订本于1978年公布,即MIL-STD-1553B标准。1980年,美国空军又对该标准作了局部修改和补充。该标准作为美国国防部武器系统集成和标准化管理的基础之一,被广泛的用于飞机综合航电系统、外挂物管理与集成系统,并逐步扩展到飞行控制等系统及坦克、舰船、航天等领域。它最初由美国空军用于飞机航空电子系统,目前已广泛应用于美国和欧洲海、陆、空三军,而且正在成为一种国际标准。我国于1987年颁布了相应的军标。 二、1553B总线的特点 1553B总线是一种集中式的时分串行总线,其主要特点是分布处理、集中控制和实时响应。其可靠性机制包括防错功能、容错功能、错误的检测和定位、错误的隔离、错误的校正、系统监控及系统恢复功能。采用双冗余系统,有两个传输通道,保证了良好的容错性和故障隔离。综合起来1553B总线有以下几个特点: 一是实时性好,1553B总线的数据传输率为1Mbps,每条消息最多包含32个字,传输一个固定不变的消息所需时间短。数据传输速率比一般的通讯网高。 二是合理的差错控制措施和特有的方式命令,为确保数据传输的完整性,1553B采用了合理的差错控制措施――反馈重传纠错方法。当BC向某一RT发出一个命令或发送一个消息时,终端应在给定的响应时间内发回一个状态字,如果传输的消息有错,终端就拒绝发回状态字,由此报告上次消息传输无效。而特有的方式命令不仅使系统能完成数据通讯控制任务,还能检查故障情况并完成容错管理功能。 三是总线效率高,总线形式的拓扑结构对总线效率的要求比较高,为此1553B对涉及总线效率指标的某些强制性要求如命令响应时间、消息间隔时间以及每次消息传输的最大和

1553B总线数据采集、记录与处理技术

1553B总线数据采集、记录与处理技术 摘要:介绍了现代飞机试飞中1553B总线数据的机载采集、记录方法和地面数据处理方 式以及100%记录的1553B总线数据事后预处理软件的功能、组成、处理流程和软件设计中的一些关键技术。 关键词: 1553B总线;采集;记录;数据处理 AbSTract:The ON-board data acquisition、recording and processing methods of 1553B-bus in modern flight test are introduced,The functions、composing and processing flowing of the pre-processing software in post for recorded 100% 1553B data,and the key technologies in software design are also presented. Key words:1553B-bus;acquisition;recording;data processing, 1553B总线在现代飞机航空电子系统及其他领域有着广泛的应用,机载航空电子系统方面的试飞任务越来越多,因此1553B总线数据的采集、记录、处理技术成为试飞测试中的关键技术之一。由于1553B总线数据速率高、数据量大、数据结构复杂、数据类型多、处理要求多样,使得总线数据处理成为现代飞机试飞数据处理的重点和难点之一。 1、1553B总线简介 1553B总线是飞机内部的时分、指令/应答多路传输总线。在航空电子系统中, 通过1553B 总线可[动漫背景音乐,游戏背景音乐,电视剧背景音乐,主题曲,片尾曲,片头曲,主题歌,背景音乐,BGM,插曲,配乐,https://www.360docs.net/doc/cb8343700.html,,主题曲,动漫背景音乐,游戏背景音乐,电视剧背景音乐,片尾曲,片头曲,主题歌,背景音乐,BGM,插曲,配乐]连接多达32个子系统(或称终端RT),完成各子系统的通信和数据交换,以实现各子系统的集中控制和显示。为了提高可靠性,一般都采用双余度总线结构。现代飞机典型的航空电子系统及1553B总线应用框图如图1所示。 图1航空电子系统及1553B总线应用框图 1.1 1553B总线字格式 1553B总线字分为: 命令字、数据字、状态字。 命令字是由同步头、远程终端地址字段、发送/接收位、子地址/方式字段、数据字个数/方式代码字段及奇偶位组成。

1553B总线接口技术指标要求

1553B总线接口技术指标要求 名称:1553B总线接口 1套 配件及技术指标要求: 1、1553B PCMCIA接口(配相应的连接器) 2个,接口生产厂家:CONDOR公司; 2、1553B PCI接口(配连接器和便携工业级运行平台) 2个,生产厂家:CONDOR公司; 3、支持运行平台:Windows XP/Windows 7,提供Windows XP和Windows 7平台下的驱动程序和API; 4、支持开发语言和工具:VC++,VB,C++ Builder,LabWindows/CVI; 5、接口型号要求: PCMCIA接口为WCN-1553-022(PCMCIA-1553-M),PCI接口为WCN-1553-402(QPCIX-1553-MW); 6、指标参数要求: 1)能模拟BC、BM和31 个RT功能,每条总线都有一兆字节的共享内存,支持 GJB289A-97标准; 2)BC功能发送周期消息和非周期消息和突发事件消息; 3)RT的每个子地址能定义1024个不同的消息; 4)BC和RT具有错误注人和检测功能,能注入非法的同步头、非法的奇偶校验位、改 变比特位个数等错误故障现象; 5)BM能100%对总线进行实时监控,能捕捉特定的数据或者事件,可通过I/O口输出 特定捕捉到的触发信号; 6)每条总线拥有各自1M的共享RAM; 7)支持“1553B总线协议协议自动解析和仿真测试工具V1.3”; 8)运行平台处理器性能优于P4 2.8G,内存大于或等于 2GB,支持操作系统Windows XP 或Windows7;硬盘为SATA接口,容量大于或等于500G(最大支持500G SATA硬盘); 9)运行平台具有4个或以上内部PCI扩展槽,支持MIL-STD-1553B、ARINC429、AFDX、 CAN、RS422、RS232、1394a/b等总线,USB2.0接口大于或等于2个,一个以太网 口,显示器大于14寸、16:10 WXGA TFT LCD显示器、最佳分辨率1440 x 900;符 合MIL-STD-810F振动、冲击和温度要求,可选择配置总线类型、通道数量,用于 总线模块验证测试、总线软件验证测试、故障定位分析。用户可以在该平台上进行 二次开发等。 10)运行平台电源220 VAC或28DVC; 11)运行平台工作温度(0-50摄氏度)。 第 1 页共1 页

1553B总线技术概述

1553B总线技术概述 一、1553B总线的起源 二十世纪60 年代以前,航空电子学是简单、独立的系统,航空、通信、飞行控制和显 示器由模拟系统构成;信号主要由模拟电压、同-异步信号和接触式开关构成。上世纪60 年代,由导航/平显/武器瞄准系统(INS/HUD/WACS)组成的综合火控系统,配上远距空射武器,使战斗机如虎添翼。但作战信息数据总量暴涨,而设备间接口各异,互联协同难度大,成为作战效能的瓶颈。同时,由于缺乏统一标准,开发、维护和改进的成本不断上升。另一方面,为了减少系统所需要的“黑箱子”数量,在各系统之间共享信息也变得越来越需要了。随着数字技术的出现,数字计算机已应用到航空设备系统中,然而需要数模转换仍限制其在此领域的广泛应用。随着技术的不断完善和发展,航空电子设备系统也变成数字化了;然而航空电子设备之间的通信仍然十分复杂和凌乱,且需要不同的硬件接口来应付不同的航空设备(如图1);在不同的航空设备接口连线也十分复杂和混乱,安全性能也不高。为了简化这一状况,就提出了数据总线,即在不同的时刻和不同的航空电子设备之间能相互通信(如图2)。 图 1 老式航空设备通讯 图 2 航空设备数据总线通讯 美国SAE A2K委员会在军方和工业界的支持下于1968年决定开发标准的信号多路传输系统,并于1973年公布了MIL-STD-1553B标准。1973年的1553B多路传输数据总线成为了未来军机将采用的技术,它取代了在传感器、计算机、指示器和其他飞机设备间传递数据的庞大设备,大大减少了飞机重量,并且使用简单、灵活,此标准的修订本于1978年公布,即MIL-STD-1553B标准。1980年,美国空军又对该标准作了局部修改和补充。该标准作为美国国防部武器系统集成和标准化管理

1553B总线测试分析系统

MIL-STD-1553总线测试分析设备 技术方案 闵登学,TEL:,

一、概述 MIL-STD-1553总线测试分析设备主要用于对MIL-STD-1553总线形式的在线仿真测试、数据分析、数据存盘、数据回放、图形化显示等功能,满足了设备检测和故障定位的需要,为总线测试提供了强大的分析工具。应用于飞机综合航电系统、装甲车辆综合电子系统、舰船综合电子系统、导弹等武器系统中。 二、主要功能 MIL-STD-1553总线测试分析设备具备互为冗余的A、B两个总线通道,可用在MIL-STD-1553A/B 总线的测试、仿真和分析领域。除去支持完整的MIL-STD-1553A/B总线协议外,还提供了差错注入功能。高精度示波器,可监测总线信号波形,并实时显示出来。 三、技术方案 3.1设备框图

3.1.1对输出信号的测量 将示波器和总线输出端口相连接,观测总线输出信号的幅值,判断输出信号是否满足总线规范的要求。测试平台如下图: 3.1.2连接器冗余通道A/B 通道测量 由于板卡提供了双冗余通道A/B 总线,用户可以分别搭建A 或者B 总线平台,测量A 或者B 总线通信是否满足1553B 总线协议。 MIL-STD-1553总线测试分析设备主要由两大部分组成,第一部分是软件部分。第二部分是硬件板卡和通用检测仪器,硬件组成主要由的PXI 、CPCI 系统主机;1553b 总线板卡和安捷伦公司的通用检测仪器产品;下面对上面软硬件功能做以详述。 3.2、硬件产品概述 被测系统 总线支线测试口 1553测试板卡 耦合器 总线波形检测

产品机箱采用具有高密度、坚固外壳及高性能连接器的特性的PXI便携式机箱,选用PXI高主频系统以适应高速采集的需要,硬件板卡所要完成航空MIL-STD-1553总线数据采集功能。下面对硬件板卡的特性和功能做如下详述: 3.2.1 便携式机箱PXIS-2558T功能及特性 ·CPCI/PXI总线更好的机械特性 ·兼容P X I规范R ev. 2.1 ·8个PXI槽(1个系统槽,7个PXI/CPCI外围槽) ·带8.4英寸触摸LCD显示屏,支持分辨率800*600 ·带350W ATX, 220 AC电源 ·电源,温度和风扇监视灯 3.2.2 PXI系统控制器功能及特性 ·PentiumM2.0G, 2G DDR内存,80G HDD ·最新的3U P X I控制器 ·兼容P X I规范R ev. 2.1 ·前面板VGA输出,支持分辨率2048 *1536 ·热插拔C om p actFlash卡 ·U S B 2.0接口和10/100/1000以太网

1553B协议综合解析

一、什么是1553B总线 1553B总线是MIL-STD-1553总线的简称,其中B就是BUS,MIL-STD-1553B总线是飞机内部时分制命令/响应式多路复用数据总线。1553B数据总线标准是20世纪70年代由美国公布的一种串行多路数据总线标准。1553B总线能挂31个远置终端,1553B总线采用指令/响应型通信协议,它有三种终端类型:总线控制器(BC)、远程终端(RT)和总线监视器(BM);信息格式有BC到RT、RT到BC、RT到RT、广播方式和系统控制方式;传输媒介为屏蔽双绞线,1553B总线耦合方式有直接耦合和变压器耦合;1553B总线为多冗余度总线型拓扑结构,具有双向传输特性,其传输速度为1Mbps传输方式为半双工方式,采用曼彻斯特进行编码传输。采用这种编码方式是因为适用于变压器耦合,由于直接耦合不利于终端故障隔离,会因为一个终端故障而造成整个总线网络的完全瘫痪,所以其协议中明确指出不推荐使用直接耦合方式。 在20世纪60年代以前,飞机机载电子系统没有标准的通用数据通道,各个电子设备单元之间连接往往需要大量的电缆。随着机载电子系统的不断复杂化,这种通信方式所用的电缆将会占用很大的空间和重量,而且对传输线的定义和测试也较为复杂,费用较高。为了解决这一问题,美国SAE A2K委员会在军方和工业界的支持下于1968年决定开发标准的信号多路传输系统,并于1973年公布了MIL-STD-1553B标准。1973年的1553B多路传输数据总线成为了未来军机将采用的技术,它取代了在传感器、计算机、指示器和其他飞机设备间传递数据的庞大设备,大大减少了飞机重量,并且使用简单、灵活,此标准的修订本于1978年公布,即MIL-STD-1553B标准。1980年,美国空军又对该标准作了局部修改和补充。该标准作为美国国防部武器系统集成和标准化管理的基础之一,被广泛的用于飞机综合航电系统、外挂物管理与集成系统,并逐步扩展到飞行控制等系统及坦克、舰船、航天等领域。它最初由美国空军用于飞机航空电子系统,目前已广泛应用于美国和欧洲海、陆、空三军,而且正在成为一种国际标准。我国于1987年颁布了相应的军标。 二、1553B总线的特点 1553B总线是一种集中式的时分串行总线,其主要特点是分布处理、集中控制和实时响应。其可靠性机制包括防错功能、容错功能、错误的检测和定位、错误的隔离、错误的校正、系统监控及系统恢复功能。采用双冗余系统,有两个传输通道,保证了良好的容错性和故障隔离。综合起来1553B总线有以下几个特点: 一是实时性好,1553B总线的数据传输率为1Mbps,每条消息最多包含32个字,传输一个固定不变的消息所需时间短。数据传输速率比一般的通讯网高。 二是合理的差错控制措施和特有的方式命令,为确保数据传输的完整性,1553B采用了合理的差错控制措施――反馈重传纠错方法。当BC向某一RT发出一个命令或发送一个消息时,终端应在给定的响应时间内发回一个状态字,如果传输的消息有错,终端就拒绝发回状态字,由此报告上次消息传输无效。而特有的方式命令不仅使系统能完成数据通讯控制任务,还能检查故障情况并完成容错管理功能。 三是总线效率高,总线形式的拓扑结构对总线效率的要求比较高,为此1553B对涉及总线效率指标的某些强制性要求如命令响应时间、消息间隔时间以及每次消息传输的最大和

1553B总线

1553B特点: 1553B总线最初是作为一种命令与控制式总线标准被开发的。 为了强调信息包能在小的、预订的时间窗口下传输同时确保它的持续和完整性,限制了数据包的长度。 1553只专一的提供数据总线通信。所有在总线上的命令和数据都是由单一的BC (总线控制器)激活,除了BC,任何终端无法激活总线通信。 BC(总线控制器)的责任:确保它的信息计划表能为所有对时间依赖性较强的处理过程提供合理的任务分配。 除了个别事物类型以外,总线终端(RTs)可为当前进行的总线食物的成败提供状态指示。 为了实现完整的总线控制模式,1553标准尽量要求RTs在指定的时间间隔内回应BC发出的命令。如果回应在标准所指定的时间内未被接受收到,BC有权判断当前事物正处在“无回应”状态,并继续进行它的下一个任务过程。这种分配方式意味着可以确保没有总线事物会超过限定时间,否则一个超时的任务会影响其它的总线事物,造成中断或暂停。 1553字结构 所有的1553字都是20bit长。每个字包含3位同步位,16位数据/命令/状态位和1位校验位。同步和奇偶校验位被1553硬件用在确定1553信息格式和数据错误的时候。 1553的命令、数据和状态图 一条1553信息由一个或多个字组成,并至少包含一个命令字。除了个别方式的命令外,所有信息包含至少一个数据字并且可能是32位数据字。信息被按照整数信息间断分隔开,间断的范围是从前一个信息最后一位的中位交叉点到下一个命令同步字的中位归零交叉点。即:整数信息间隔包含0.5s的奇偶校验位信息,接着字间几微秒的总线死区(零电位)和1.5秒同步信号。

典型系统命令 典型的系统命令包含控制BC到RT,RT到BC,和RT到RT信息的BC命令,用于满足读、写和在计算机处理数据的系统需求。 1、BC到RT 一个BC到RT的传输允许BC给RT传输数据或命令。下图是BC到RT 信息组件的示图。许多系统应用BC到RT信息控制BC给RT子系统传送初始化指令或程序数据。 …...# BC 到RT信息示图 2、RT到BC RT到BC的传输允许RT传输子系统数据给BC以便处理。下图提供RT到BC信息示意图。BC可以利用一条RT到BC信息从RT获取传感或程序数据。 RT到BC信息示意图 3、RT到RT BC可以命令RT给其它RT传送数据。下图提供RT到RT信息组件示意图。这种类型的信息提供了一种机制,当BC在处理过程中不需要进行数据交换时,RT可以给另外一个RT传送数据。 ......# RT到RT信息示意图

1553B总线学习资料

1553B总线及其相关知识 一 1553B总线简介 MIL-STD-1553是为数据总线定义的军方标准。这种数据总线被用来为各系统之间的数据和信息的交换提供媒介。MIL-STD-1553总线的主要特征: 传输速率: 1Mbit/s字长度20bit包括同步域3bit、消息块16bit、奇偶位1bit。信息量最大长度32个字。 传输方式:半双工方式。 传输协议:命令/响应方式。 故障容错:双冗余方式,第二条处于热备份状态。 信息格式:BC RT RT BC RT RT 广播方式和系统控制方式。 →→→ 远置终端:可以挂31个远置终端,类型有总线控制器(BC)、远置终端(RT)和总线监听(BM)。传输媒介:屏蔽双绞线。 耦合方式:直接耦合和变压器耦合。直接耦合:最长传输距离(约30.5cm),输入电平需要1.2V到20V, 输出电压为6.0V到9.0V(如图一);变 压器耦合最长距离(约6.1m),输入电平需要0.86V到14.0V,输出 电压需要18.0V到27.0V(如图二) 图一:直接耦合方式

图二:变压器耦合方式 耦合器相关类型请点击这里 图三:总线连接图 二1553B传输协议和桢传输格式 构成MIL-STD-1553传输协议有三要素:命令字、数据字、状态字;每个字长度为20bit,且由三部分组成:同步域(3bit)、消息块(16bit)、奇偶位(1bit)。

(如图四) 图四:消息格式 在同步域中(第1到第3位)1个半比特位为高电平,1个半比特位为低电平;命令字和状态字杂子同步域中相同,先高电平再低电平;而数据字则相反,先低电平再高电平。 传输方式分为:桢传输方式:BC RT RT BC RT RT 命令模式(不带数据的命 →→→ 令模式、带数据发送的命令模式和带数据接收的命令模式); 广播桢传输方式:BC→RT RT RT 广播命令模式(不带数据的 → 广播命令模式和带数据的广播命令模式)。(如图五)

1553B总线简介

MIL-STD-1553B(GJB289A)总线简介 1.1553B总线发展历史及应用现状 上世纪60年代,由导航/平显/武器瞄准系统(INS/HUD/WACS)组成的综合火控系统,配上远距空射武器,使战斗机如虎添翼。但作战信息数据总量暴涨,而设备间接口各异,互联协同难度大,成为作战效能的瓶颈。同时,由于缺乏统一标准,开发、维护和改进的成本不断上升。于是1973年后,美军方先后公布MIL-STD-1553A(USAF)标准和1553B改进标准。粗略的说,单个机载电子设备就类似于计算机局域网LAN中的单个计算机,1553标准类似于通信协议,堪称现代作战飞机电子系统的“脊梁骨”。其核心就在于“标准”二字。有了1553,雷达光电探测、导航、本机传感、座舱显示、外挂管理和火控计算机等得以完美的联结综合,构成了第三代战斗机标志性的分布式集中控制系统。F-16A是采用1553A标准的第一种作战飞机。 经过20多年的发展,1553总线已经广泛地应用于不同的军事平台(航空系统、地面车辆系统、舰艇系统) 系统,已经发展成国际公认的数据总线标准。西方发达国家的武器平台绝大部分采用MIL-STD-1553B总线作为连接各个分系统的神经枢纽,可以说基本实现了武器平台的信息化。这些武器平台包括:战斗机、武装直升机、坦克、战车、军舰,甚至导弹等。 我国军队正处在由机械化到信息化的发展阶段,我国新型战斗机已经全面换装 GJB289A(MIL-STD-1553B)数据总线,如:歼八II、歼11、"山鹰" 号新一代教练机、FC-1等,我国军舰也正在采用MIL-STD-1553B数据总线,例如167驱逐舰。其它武器平台也将逐步采用GJB289A(MIL-STD-1553B)数据总线。 在航天方面,我国已经将MIL-STD-1553B数据总线应用到卫星/飞船的应用系统中,并取得了一定成果。利用MIL-STD-1553B数据总线不仅可以将卫星/飞船的各个分系统有机结合起来,而且大大提高了系统的可扩展性与可维护性。例如:GX-1卫星就采用了 MIL-STD-1553B数据总线。可以预见, MIL-STD-1553B总线将在航天领域得到广泛的应用未来的十年到十五年内,MIL-STD-1553B仍将是国内航空航天的主要航电总线之一。随着现代航电综合化要求的加强,航电通讯系统的重要性不断提高, MIL-STD-1553B作为目前首选的航电总线,其关键作用也日益突出。 2.1553B总线的特点

MIL-STD-1553B数据总线协议

1 绪论 1.1 引言 随着科技的发展及战争的需要,战车、舰船、飞机等武器平台上电子设备越来越多,越来越复杂,于是将电子设备按一定的协议联网加以有效地综合,使之达到资源和功能共享已成为必然的要求。电子综合的支撑技术是联网技术,而武器平台上的联网技术不同于一般的局域网络技术,它特别强调网络的可靠性和实时性[1]。1553B总线最初是在七十年代末为适应飞机的发展由美国提出的飞机内部电子系统联网标准,其后由于它的高可靠性和灵活性而在其他的机动武器平台上也得到了较广泛的应用。 MIL-STD-1553B是70年代发展起来的“飞机内部时分制指令响应式多路传输数据总线”,它具有可靠性高、速度快、反应灵敏、双冗余等特点,特别适用于快速反应武器系统[2]。虽然其传输速率只有1Mbit/s,但它并没有因后来发展起来的高速网遭淘汰, 而是随微电子技术和计算机技术的发展而不断提高。世界上许多集成电路公司和厂家都不断开发和生产集成度更高、通用性更强的1553B总线系列器件。这些器件优化了1553B总线通讯接口,减轻了主机的通讯负担,从而提高了系统的可靠性[3]。 1.2国内外1553B总线研究发展状况及涉及领域 随着时代的发展,对于增加飞机推力、改善气动性能等技术的成熟,航空系统设计任务的重点,逐渐集中在飞机内部的电子设计上。与此同时,信息工程、计算机技术、控制技术、电子技术都有了长足的进步。航空电子综合化技术就是在这样的背景下产生的[3]。技术的核心问题是实现信息采集、处理、分配、存储的一个系统。MIL-STD-1553B多路总线是综合化航空电子系统设备间的数据交换纽带,它将所有的综合化航空电子子系统连接在一起,共同构成具有特殊性的分布式计算机网络,从而实现综合系统内部的信息共享和系统综合化控制。 航空电子系统中,不仅需要不同的硬件接口来应付不同的航空设备,而且航空设备内部接口连线也十分复杂和混乱,可靠性能也不高[3]。为了解决这个状况,提出了在航空电子系统中使用数据总线,使得不同的航空电子设备之间能够互相通信。美国军方提出的MIL-STD-1553B数据总线协议就是在航空电子综合化技术发展过程中形成的现代航空机载系统设备互联的网络接口标准。从推出到现在,经过三十多年的发展,1553B总线技术也在不断的改进。目前1553B总线已经成为在航空航天领域占统治地位的总线标准。

1553B航电总线简介(中文版)

美国MIL-STD-1553B航电总线简介 目录 1.1553B总线发展历史及应用现状 上世纪60年代,由导航/平显/武器瞄准系统(INS/HUD/WACS)组成的综合火控系统,配上远距空射武器,使战斗机如虎添翼。但作战信息数据总量暴涨,而设备间接口各异,互联协同难度大,成为作战效能的瓶颈。同时,由于缺乏统一标准,开发、维护和改进的成本不断上升。于是1973年后,美军方先后公布MIL-STD-1553A(USAF)标准和1553B改进标准。粗略的说,单个机载电子设备就类似于计算机局域网LAN中的单个计算机,1553标准类似于通信协议,堪称现代作战飞机电子系统的“脊梁骨”。其核心就在于“标准”二字。有了1553,雷达光电探测、导航、本机传感、座舱显示、外挂管理和火控计算机等得以完美的联结综合,构成了第三代战斗机标志性的分布式集中控制系统。F-16A是采用1553A标准的第一种作战飞机。 经过20多年的发展,1553总线已经广泛地应用于不同的军事平台(航空系统、地面车辆系统、舰艇系统) 系统,已经发展成国际公认的数据总线标准。西方发达国家的武器平台绝大部分采用MIL-STD-1553B总线作为连接各个分系统的神经枢纽,可以说基本实现了武器平台的信息化。这些武器平台包括:战斗机、武装直升机、坦克、战车、军舰,甚至导弹等。 我国军队正处在由机械化到信息化的发展阶段,我国新型战斗机已经全面换装 GJB289A(MIL-STD-1553B)数据总线,如:歼八II、歼11、"山鹰" 号新一代教练机、FC-1等,我国军舰也正在采用MIL-STD-1553B数据总线,例如167驱逐舰。其它武器平台也将逐步采用GJB289A(MIL-STD-1553B)数据总线。 在航天方面,我国已经将MIL-STD-1553B数据总线应用到卫星/飞船的应用系统中,并取得了一定成果。利用MIL-STD-1553B数据总线不仅可以将卫星/飞船的各个分系统有机结合起来,而且大大提高了系统的可扩展性与可维护性。例如:GX-1卫星就采用了 MIL-STD-1553B数据总线。可以预见, MIL-STD-1553B总线将在航天领域得到广泛的应用

航空总线1553b技术

MIL-STD-1553B总线系统搭建指导 文章来源:西安凯锐测控科技有限公司梁富森 1.1553B总线协议 1.11553B总线介绍 MIL-STD-1553B(GJB 289A)是一种应用于机载电子设备间通信的共享式总线通信协议,以总线式拓扑结构连接最多31个终端设备互联,传输速率为 1Mbps,在航空电子总线网络中占有重要地位,在舰船、坦克、导弹及卫星等运动平台上也有广泛的应用。基本的1553B总线拓扑图如图1所示,各个1553B 终端都是通过短截线连接到总线上,总线的两端必须连接总线匹配电阻。 图1 1553B总线基本拓扑图 1553B总线使用屏蔽双绞线作为传输介质,互连线由主电缆和短截线组成。主电缆的最长长度一般不超过100米,两端使用与其传输阻抗匹配的总线终端电阻进行端接(如RT500078)。如果主电缆的长度过长,需要考虑传输延时和传输线的影响,1米的电缆的信号传输延时为5.3纳秒。例如,主电缆的长度为300米,则信号在主电缆上的最大传输延时约为1.6微秒。1553B总线消息从BC端传输到RT端需要1.6微秒的传输延时,响应的状态字从RT端到BC端也需要1.6微秒的传输延时。响应时间就增加了3.2微秒的传输延时,因此,BC 端增加4微秒的最大响应时间(由1553B协议中规定的14微秒增加到18微秒)。短截线是将1553B终端设备连接到主电缆的电缆。短截线的最大长度取决于它与主总线的连接方式,在直接耦合方式下,短截线长度不超过0.3米;在间接耦合方式下,短截线的长度不超过6米。 1.2间接耦合

间接耦合,又称变压器耦合。间接耦合是指终端通过一个次级隔离变压器(如DBP20010)连接到主电缆上,隔离变压器位于终端设备的外部,主电线两端通过阻值等于电缆特征阻抗的电阻与耦合变压器相连,以确保传输线不匹配造成的反射最小。间接耦合与直接耦合相比,具有较好的电气隔离、阻抗匹配和较高的噪声抑制性能,电气隔离避免了终端故障或者短截线阻抗失配对主总线的影响,在实际的应用中应优先选择变压器耦合方式。 1.3直接耦合 直接耦合方式是指用短截线将终端直接连接到主电缆上(通常使用T性连接器),隔离电阻和变压器位于终端设备的内部。在直接耦合情况下,虽然隔离电阻提供了在短接故障或终端短路的情况下对主总线的保护,但是实际使用过程中,要慎用或者不用直接耦合方式。因为一旦终端短路将会影响到整个总线通信,而直接短接也会极大影响总线上的阻抗匹配。 1.4 1553B总线连接规则 应尽量使用间接耦合方式,避免使用直接耦合方式;间接耦合方式的短截线长度应小于6米。如一定要使用直接耦合方式,短截线长度应小于0.3米;主电缆的长度小于100米。 2. 1553B总线组件 2.11553B电缆和连接器 1553B总线的主电缆和短截线都使用带屏蔽双绞线,电缆与电缆、电缆与1553B终端设备、电缆与耦合器之间都使用双轴连接器进行连接(如PL75-47、CJ70-47、BJ76等),如图2所示。

相关主题
相关文档
最新文档