伪随机序列特性研究及扩频通信

伪随机序列特性研究及扩频通信
伪随机序列特性研究及扩频通信

武汉大学教学实验报告

电子信息学院 电子信息工程 专业 2018 年 12 月 23 日

实验名称 伪随机序列产生及特性 指导教师 陈泽宗

姓名 董一展 年级 16 学号 2016301200254 成绩

一、预习部分

1.实验目的

2.实验基本原理

3.主要仪器设备

1.实验目的

(1)了解不同的伪随机序列的性质和特点;

(2)熟悉不同的伪随机序列的产生方法;

2.实验原理

m序列类似于随机序列,但不是真正随机序列,它的结构或形式是预先可以确定的,并且可以重复地产生和复制。m序列是由带线性反馈的移存器产生的,结构如图:

其中an-i为移位寄存器中每位寄存器的状态,Ci为第i位寄存器的反馈系数。Ci=1表示有反馈,Ci=0表示无反馈。

m序列是最长线性反馈移存器序列的简称,是伪随机序列的一种。它是由带线性反馈的移存器产生的周期最长的一种序列。m序列具有类似于随机序列的性质,归纳起来有下列三点:

1.伪随机特性:分布无规律,具有与白噪声相似的伪随机特性;

2.平衡特性:随机序列中0和1的个数接近相等;

3.游程特性:把随机序列中连续出现0或1的子序列称为游程。连续的0或1的个数称为游程长度。随机序列中长度为1的游程约占游程总数的1/2,长度为2的游程约占游程总数的1/22,长度为3的游程约占游程总数的1/23,...

4.相关特性:随机序列的自相关函数具有类似于白噪声自相关函数的性质,即单峰自相

关函数特性。

5.移位相加性:随机序列的自相关函数具有类似于白噪声自相关函数的性质,即单峰自相关函数特性。

3.主要仪器

RZ9681实验平台、实验模块:主控模块、信源译码与时分解复用、双通道示波器、信号连接线

二、实验操作部分

1.实验内容及步骤

2.实验数据、表格及数据处理

3.实验结论

1.实验内容

(1)实验模块在位检查

在关闭系统电源的情况下,确认下列模块在位:

(2)加电

打开系统电源开关,模块右上角红色电源指示灯亮,几秒后模块左上角绿色运行指示灯开始闪烁,说明模块工作正常。若两个指示灯工作不正常,需关电查找原因。

(3)选择实验内容

使用鼠标在液晶上根据功能菜单选择:实验项目->原理实验->信道复用技术->伪随机序列特性研究,进入到伪随机序列产生及特性研究实验页面。

(4)信号线连接

使用信号连接线按照实验框图中的,连线方式进行连接,并理解每个连线的含义。

2.实验波形

(1)设置一组m序列观测“0”和“1”的个数,并同时观测不同长度的游程数量:

(2)m序列移位相加特性

(3)m序列自相关特性:

(4)m序列互相关特性:

(5)扩频解扩码观测及基带数据观测:

3.实验结论(略)

三、实验效果分析及建议

实验思考题:

(1)分析m序列自相关性对抗多径的作用

m序列的功能:扩展调制信号的带宽到更大的传输带宽,即所谓的扩展频谱,可抗多径。 (2)简述扩频解扩的原理及作用

扩频是利用伪随机码,以调制的方法,将已调信号的频谱宽度扩展得比原信号更宽的过程。解扩是扩频的逆过程。扩频的作用有:抗干扰、利用不同信号的正交或准正交性实现共享资源等。

四、教师评语

指导教师 年 月 日

伪随机序列的产生及应用设计-通信原理课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目:伪随机序列的产生及应用设计 初始条件: 具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、设计伪随机码电路:产生八位伪随机序列(如M序列、Gold 序列等); 2、了解D/A的工作原理及使用方法,将伪随机序列输入D/A中(如 DAC0808),观察其模拟信号的特性; 3、分析信号源的特点,使用EWB软件进行仿真; 4、进行系统仿真,调试并完成符合要求的课程设计说明书。 时间安排: 二十二周一周,其中3天硬件设计,2天硬件调试 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要................................................................................................................................ I 1理论基础知识 (1) 1.1伪随机序列 (1) 1.1.1伪随机序列定义及应用 (1) 1.1.2 m序列产生器 (2) 1.2芯片介绍 (4) 1.2.1移位寄存器74LS194. (4) 1.2.2移位寄存器74LS164 (5) 1.2.3 D/A转换器DAC0808 (6) 2 EWB软件介绍 (8) 3设计方案 (9) 4 EWB仿真 (11) 5电路的安装焊接与调试 (13) 6课程设计心得体会 (14) 参考文献 (15) 附录1 (16)

伪随机序列发生器本科毕业论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

直接序列扩频通信

MATLAB仿真直接序列扩频通信 1.摘要 直接序列扩频通信系统(DS-CDMA)因其抗干扰性强、隐蔽性好、易于实现码分多址(CDMA)、抗多径干扰、直扩通信速率高等众多优点,而被广泛应用于许多领域中。针对频通信广泛的应用,本文用MATLAB工具箱中的SIMULINK通信仿真模块和MATLAB函数对直接序列扩频通信系统进行了分析和仿真,使其更加形象和具体。 关键字:扩频通信m序列gold正交序列matlab仿真 2.引言 直接序列扩频(DSSS— Direct Sequence Spread Spectrum)技术是当今人们所熟知的扩频技术之一。这种技术是将要发送的信息用伪随机码(PN码)扩展到一个很宽的频带上去,在接收端,用与发端扩展用的相同的伪随机码对接收到的扩频信号进行相关处理,恢复出发送的信息。 它是二战期间开发的,最初的用途是为军事通信提供安全保障, 是美军重要的无线保密通信技术。这种技术使敌人很难探测到信号。即便探测到信号,如果不知道正确的编码,也不可能将噪声信号重新汇编成原始的信号。有关扩频通信技术的观点是在1941年由好莱坞女演员Hedy Lamarr 和钢琴家George Antheil提出的。基于对鱼雷控制的安全无线通信的思路,他们申请了美国专利#2.292.387。不幸的是,当时该技术并没有引起美国军方的重视,直到十九世纪八十年代才引起关注,将它用于敌对环境中的无线通信系统。 直序扩频解决了短距离数据收发信机、如:卫星定位系统(GPS)、3G移动通信系统、WLAN (IEEE802.11a, IEEE802.11b, IEE802.11g)和蓝牙技术等应用的关键问题。扩频技术也为提高无线电频率的利用率(无线电频谱是有限的因此也是一种昂贵的资源)提供帮助。 3.直接序列扩频DS-SS是直接用具有高码率的扩频码序列在发送端去扩展信 号的频谱。而在收端,用相同的扩频码序列去进行解扩,把展宽的扩频信号还原成原始的信息。

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

伪随机码发生器设计

伪随机码发生器设计 1 引言 随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。 伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信 扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。 2 发生器系统设计 2.1总体设计 系统分为信息处理、实时显示和按键修改共五大模块。 系统总体结构框图如图1所示:

Gold序列的仿真研究

Gold序列的仿真研究 Gold序列的仿真研究 摘要: Gold序列是R·Gold提出的一种基于m序列的码序列,这种序列有较优良的自相关和互相关特性,构造简单,产生的序列数多,因而获得了广泛的应用。本文对Gold序列进行仿真研究,首先介绍了扩频通信中常用的m序列和Gold序列码产生的方法原理和性质,运用Matlab对Gold码的生成和性能进行了仿真分析。 关键词:伪随机序列;Gold序列;m序列;Matlab仿真 SIMULATION OF GOLD SEQUENCES Abstract:Gold sequences is proposed by R ? Gold which is based on m sequences. Gold sequences has good properties, such as good autocorrelation and cross-correlation, easy to construct and more sequences, etc, therefore it has wide applications. This paper investigates the Gold sequences. The principle and performance of m sequences and Gold sequences in spread spectrum communication are first introduced in the paper. Simulation by Matlab is also provided in the paper to analyze the nature of Gold sequences. Key words: Pseudo-random sequence;Gold sequence;m sequence;Matlab simulation

伪随机序列m和M的生成算法实现

m-M 文档 1 相关概念 随机序列:可以预先确定又不能重复实现的序列 伪随机序列:具有随机特性,貌似随机序列的确定序列。 n 级线性移位寄存器,能产生的最大可能周期是21n p =-的序列,这样的序列称为m 序列。 n 级非线性移位寄存器,能产生的最大周期是2n 的序列,这样的序列称为M 序列。 图1线性移位寄存器 线性移位寄存器递推公式 11221101 n n n n n n i n i i a c a c a c a c a c a ----==++++= ∑ 线性移位寄存器的特征方程式 010 ()n n i n i i f x c c x c x c x ==+++= ∑ ,ci 取值为0或1 定义 若一个n 次多项式f (x )满足下列条件: (1) f (x )为既约多项式(即不能分解因式的多项式); (2) f (x )可整除(x p +1), p =2n -1; (3) f (x )除不尽(x q +1), q

由抽象代数理论可以证明,若α是n 次本原多项式()f x 的根,则集合2 2 {0,1,}n F α-= 可 构成一个有限的扩域(2)n G F 。F 中的任一元素都可表示为1110n n a a a αα--+++ ,这样n 个分量的有序序列110(,,,)n a a a - 就可表示F 中的任一元素。 若既约多项式()f x 的根能够形成扩域(2)n G F ,则该多项式是本原多项式,否则不是本原多项式。 2.2 二元域(2)GF 上的本原多项式算法实现 (2)GF 上n 次多项式的通式为 1 2 1210()...n n n n n f x x a x a x a x a ----=++++,系数是二元域上的元素(0,1) 既约多项式既不能整除,1x x +,0和1不可能是()f x 的根,即0a =1, ()f x 的项数一定为奇数。 另外,一个既约多项式是否能形成(2)n G F ,从而判断它是否为本原多项式。N 次多项式的扩域,其中,120,1,,,n ααα 一定在扩域中,需要判断的是12 2 ,n n αα+- 是否也在扩域 中,从而形成全部扩域(2)n G F ,若在,则该n 次既约多项式是本原多项式,否则不是。 (1)给定二元多项式 1 2 1210()...n n n n n f x x a x a x a x a ----=++++,01a = 设α是f(x)扩域中的一个元素,且f(α)=0则有: n n-1 n-11=a ++a +1αα α (1) (2)从n α开始,计算α的连续幂。在计算过程中,当遇到α的幂次为n 时,将(1)代入,一直计算到n 2 -2 α (形成GF (2n )),再计算n 2 -1 α 。若n 2-1 α =1,则证明()f x 能被n 21 x 1-+整 除,而不能整除1q x +(21n q <-),判定为本原多项式。在计算α的连续幂过程中,若 q x =1(21n q <-),则证明()f x 能被1q x +整除,判定为非本原多项式,停止计算。 在计算机实现时,n 个分量的有序序列110(,,)n a αα- 与α的任一连续幂有着一一对应的 关系,可以用有序序列110(,,)n a αα- 来表示α的任一连续幂。q α用110(,,)q q q n a αα- 来

PN码的设计

课程名称:通信系统课程设计课题名称:PN码的设计 系部:电气与信息工程学院专业班级:通信13101 学号:201316020115 学生姓名:朱恩邦 指导教师:侯清莲 完成时间:2016-12-28 报告成绩:

目录 摘要....................................................... 错误!未定义书签。ABSTRACT.. (4) 第一章前言 (5) 1.1设计的提出 (5) 1.2 伪随机序列的应用及其意义 (5) 1.3 伪随机序列研究现状 (6) 1.4设计内容 (6) 第二章伪随机序列与仿真工具的简介 (6) 2.1 伪随机序列理论的发展历史 (7) 2.2 伪随机序列的构造方法 (8) 2.3 MATLAB简介 (8) 第三章m序列 (10) 3.1 m序列的定义 (10) 3.2 m序列的产生 (10) 3.3 m序列的性质 (12) 3.4 m序列的计数 (16) 第四章Gold序列 (16) 4.1 Gold序列的定义 (16) 4.2 m序列优选对 (17) 4.3 Gold序列的产生结构 (20) 4.4 Gold码的性质 (21) 4.5 平衡Gold码 (23) 第五章序列的仿真及其仿真比较 (23) 5.1 m序列的仿真 (23) 5.2 Gold序列的仿真 (26) 5.3 MATLAB环境中伪随机序列相关函数的实现及特性 (28) 5.4 两种相关函数间的相关特性比较 (29) 第六章心得体会 (30) 参考文献: (31)

PN码的设计 摘要 本次设计主要介绍了PN序列中两种常用的反馈移位寄存器序列(m序列和Gold序列)的特性,并对其进行仿真研究。 伪随机序列良好的随机性和接近于白噪声的相关函数,使其易于从信号或干扰中分离出来。伪随机序列的可确定性和可重复性,使其易于实现相关接收或匹配接收,因此有良好的抗干扰性能。伪随机序列的这些特性使得它在伪码测距、导航、遥控遥测、扩频通信、多址通信、分离多径、数据加扰、信号同步、误码测试、线性系统、各种噪声源等方面得到了广泛的应用,特别是作为扩频码在CDMA系统中的应用已成为其中的关键问题。 在本论文中首先简要阐述了伪随机序列的研究现状及其相关意义,接着介绍了伪随机序列的发展历史,研究方法和研究工具。然后分别对m序列和Gold序列这两种常用的伪随机序列的生成过程、随机特性以及相关特性进行了详细的研究,并分析它们的优点以及存在的问题。最后在理论证明的基础上应用MATLAB仿真验证它们的随机特性,并用仿真做出m序列和Gold序列相关特性图形并加以比较。 【关键词】:PN序列(伪随机序列);m序列;Gold序列;相关;

直接序列扩频通信系统的误码率仿真培训讲学

直接序列扩频通信系统的误码率仿真

直接序列扩频通信系统的误码率仿真 1.引言 扩展频谱通信系统是将基带信号的频谱扩展至很宽的频带上,然后再进行 传输的一种通信系统,即将待传送的信息数据用伪随机编码调制,实现频谱扩展后再传输,接收端则采用同样的编码进行解调及相关处理,恢复原始信息数据。 扩频通信的基础理论根据信息论中的shannon 公式 ) (N S B C /1log 2+= 式中,C 是系统的信道容量,B 是系统信道带宽,N 是噪声功率,S 为信号的功率,S/N 即为信噪比。 Shannon 公式表明了一个系统信道无误差的传输信息的能力与存在于信道中的信噪比以及用于传输信息的系统信道带宽之间的关系。该公式说明了两个极为重要的概念:一是在一定的信道容量条件下,可以用减少发送信号功率、增加带宽的方法来达到信道容量的要求;另一个是可以采用减少带宽而增加信号功率的方法来达到信道容量的要求。这也就说明了信道容量可以通过带宽与信噪比的互换来保持不变。在实际的工程应用中,改变信号的功率并不容易,相比较而言,扩展信号的带宽更容易操作,所以,要提高信道容量,采用增加信号的带宽比提高信号功率的方法要有效的多。 由于扩频通信系统可以在信号功率远低于噪声功率的环境中工作,因此扩 频通信系统具有抗干扰能力强,保密性强等优点,在现在通信领域内的应用越 来越广泛。 2.系统概述 本次仿真实验是以MATLAB 为仿真平台,信号是8位双极性二进制信号,由 1和-1组成。随后对产生的双极性信号进行时域抽样,得到基带信号s ,是一组1024位的信息码。伪随机序列由mgen 函数产生,共有1024个码元。对已得到的基带信号进行扩频调制,直接把基带信号S 与产生的伪随机序列相乘,得到扩频信号。然后对已作扩频处理的信号作BPSK 载波调制,得到发射信号。发射信号通过存在高斯白噪声的信道,到达接到端,接收端首先对信号进

实验一 伪随机码发生器实验

实验一伪随机码发生器实验 电科1103 杨帆 3110104337 一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和74LS系列元件库设计伪随机码。 4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、熟悉通信原理实验板的结构。 二、实验仪器 1、计算机一台 2、通信基础实验箱一台 3、100MHz示波器一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源; 扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方 面: 1、由n级移位寄存器产生的伪随机序列,其周期为-1; 2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个; 3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现 次数多一倍; 例如:四级伪码产生的本原多项式为X 4 +X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。

在MAXPLUS II 环境下输入上述电路,其中:dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚

基于matlab的直接序列扩频通信系统仿真

基于MATLAB的直接序列扩频通信系统仿真 1.实验原理:直接序列扩频(DSSS)是直接利用具有高码率的扩频码系列采用各种调 制方式在发端与扩展信号的频谱,而在收端,用相同的扩频码序去进行解扩,把扩展宽的扩频信号还原成原始的信息。它是一种数字调制方法,具体说,就是将信源与一定的PN码(伪噪声码)进行摸二加。例如说在发射端将"1"用11000100110,而将"0"用00110010110去代替,这个过程就实现了扩频,而在接收机处只要把收到的序列是11000100110就恢复成"1"是00110010110就恢复成"0",这就是解扩。这样信源速率就被提高了11倍,同时也使处理增益达到10DB以上,从而有效地提高了整机倍噪比。 1.1 直扩系统模型 直接序列扩频系统是将要发送的信息用伪随机码(PN码)扩展到一个很宽的频带上去,在接收端用与发送端相同的伪随机码对接收到的扩频信号进行相关处理,恢复出发送的信号。对干扰信号而言,与伪随机码不相关,在接收端被扩展,使落入信号通频带的干扰信号功率大大降低,从而提高了相关的输出信噪比,达到了抗干扰的目的。直扩系统一般采用频率调制或相位调制的方式来进行数据调制,在码分多址通信中,其调制多采用BPSK、DPSK、QPSK、MPSK等方式,本实验中采取BPSK方式。 直扩系统的组成如图1所示,与信源输出的信号a(t)是码元持续时间为Ta的信息流,伪随机码产生器产生伪随机码c(t),每个伪随机码的码元宽度为Tc (Tc<

伪随机序列发生器

伪随机序列发生器 一、实验目的: 理解伪随机序列发生器的工作原理以及实现方法,掌握MATLAB\DSP BUILDER设计的基本步骤和方法。 二、实验条件: 1. 安装WindowsXP系统的PC机; 2. 安装QuartusII6.0 EDA软件; 的序列发生器,并通 ⒈ ⒉ ⒊⒋⒌⒍⒎⒏ ⒐ ⒑ ⒒⒓⒔⒕⒖⒗ 四、实验原理: 对于数字信号传输系统,传送的数字基带信号(一般是一个数字序列),由于载有信息,在时间上往往是不平均的(比如数字化的语音信号),对应的数字序列编码的特性,不利于数字信号的传输。对此,可以通过对数字基带信号预先进行“随机化”(加扰)处理,使得信号频谱在通带内平均化,改善数字信号的传输;然后在接受端进行解扰操作,恢复到原来的信号。伪随机序列广泛应用与这类加扰与解扰操作中。我们下面用DSP BUILDER来构建一中伪随机序列发生器——m序列发生器,这是一种很常见的伪随机序列发生器,可以由线性反馈器件来产生,如下图:

其特征多项式为: ()∑==n i i i x C x F 0 注:其中的乘法和加法运算都是模二运算,即逻辑与和逻辑或。 可以证明,对于一个n 次多项式,与其对应的随机序列的周期为。 12?n 接下来我们以为例,利用DSP BUILDER 构建这样一个伪随机序列发生器。 125++x x 开Simulink 浏览器。 Simulink 我们可以看到在Simulink 工作库中所安装的Altera DSP Builder 库。 2. 点击Simulink 的菜单File\New\Model 菜单项,新建一个空的模型文件。

直接序列扩频通信系统开题报告

哈尔滨工业大学华德应用技术学院毕业设计(论文)开题报告 题目:直接序列扩频通信系统的设计与仿真实现 系(部)应用电子与通信技术 专业通信工程 学生薛光宇 学号24 班号0992222 指导教师周凯 开题报告日期2012.10,22 哈工大华德学院

说明 一、开题报告应包括下列主要内容: 1.通过学生对文献论述和方案论证,判断是否已充分理解毕业设计(论文)的内容和要求 2.进度计划是否切实可行; 3.是否具备毕业设计所要求的基础条件。 4.预计研究过程中可能遇到的困难和问题,以及解决的措施; 5.主要参考文献。 二、如学生首次开题报告未通过,需在一周内再进行一次。 三、开题报告由指导教师填写意见、签字后,统一交所在系(部)保存,以备检查。指导教师评语: 指导教师签字:检查日期:

一、课题题目和课题研究现状 课题题目:直接序列扩频通信系统的设计与仿真实现。 研究现状:目前扩频技术中研究最多的对象是CDMA技术,其中又以码捕获技术和多用户检测(MUD)技术代表了目前扩频技术研究的现状。 1.码捕获 同步的实现是直扩系统中一个关键问题。只有在接收机将本地产生的伪码和接收信号中调制信息的伪码实现同步以后,才有可能实现直序扩频通信的各种优点。同步过程分为两步来实现:首先是捕获阶段,实现对接收信号中伪码的粗跟踪;然后是跟踪阶段,实现对伪码的精确跟踪。目前的研究主要集中在码捕获过程。 2, 多用户检测 CDMA系统容量受到来自其他用户的多址干扰的限制,多用户检测能够利用这些多址干扰来改善接收机的性能,因此是一种提高系统容量的有效方法。传统的CDMA 接收机是由一系列单用户检测器组成,每个检测器都是与特定扩频码对应的相关器,它并没有考虑多址干扰的结构,而是把来自其它用户的干扰当成加性噪声,因此当用户数量增加时,其性能急剧下降。通过对所有用户的联合译码可以极大地改善CDMA系统的性能。但是最优的多用户接收机,其复杂度随用户数量成指数增长,因此在实际通信系统中几乎不可能实现。这样寻找在性能和复杂度之间折中的次最优多用户检测器成为研究的热点 二、目的及意义 通过对该课题的研究,了解科研学术论文的撰写流程,并且将自己所学的理论知识运用到论文中,全面多角度的分析该领域的发展现状,同时提高自己的思维能力,对搜集的数据进行恰当处理和准确分析,对大学本科四年学习成果进行有效的检验,并且进一步提高自学能力和自主进行科学研究的水平。 三、课题的基本内容 所谓直接序列扩频(DS),就是直接用具有高速率的扩频码序列在发送端去扩展信号的频谱。而接收端,用相同的扩频码序列进行解扩,把展宽的扩频信号还原成原始信息。

基于MATLAB的伪随机序列发生器的设计

通信工程专业 计算机课程设计 题目基于MATLAB的伪随机序列发生器的设计 学生姓名学号 所在院(系) 专业班级 指导教师 完成地点 2013年 11 月 12 日

计算机课程设计任务书 院(系) 专业班级学生姓名 一、课程设计题目基于matlab的伪随机序列发生器的设计 二、课程设计工作自 2013 年 10 月 27 日起至 2013 年 11 月 22 日止 三、课程设计进行地点: 四、课程设计的内容要求: 1、要求完成七级m 序列发生器的设计(本原多项式自定); 2、要求完成五级gold 序列发生器的设计(本原多项式自定); 3、采用matlab实现,并对其进行调试运行; 4、要求能清楚观察到稳定的序列。 指导教师系(教研室) 通信工程系 接受任务开始执行日期 2013年10月27日学生签名 基于matlab的伪随机序列发生器的设计

[摘要]伪随机序列码越来越受到人们的重视,被广泛用于导弹、卫星、飞船轨道测量和跟踪、雷达、导航、移动通信、保密通信和通信系统性能的测量以及数字信息处理系统中。本文主是对基于matlab的伪随机序列发生器的设计,及其利用matlab软件对其进行仿真和利用simulink对其仿真性能的研究,主要阐述了扩频系统中m序列和gold序列的产生。在第一部分中介绍了课题研究的背景,第二部分中介绍了扩频系统的相关知识,第三部分介绍了m序列和Gold序列产生的原理和方法,第四部分利用matlab和simulink对其进行仿真。 [关键词]伪随机序列 m序列移位寄存器 Design of the pseudo-random sequence generator based on matlab

水印代码

clear all; %logistic序列生成算法 figure(1); an=linspace(3.1,3.99,400); hold on;box on;axis([min(an),max(an),-1,2]); N=64*64; xn=zeros(1,N); for a=an; x=rand; for k=1:20; x=a*x*(1-x); end for k=1:N; x=a*x*(1-x); xn(k)=x; b(k,1)=x; end plot(a*ones(1,N),xn,'k.','markersize',1); end c=reshape(b,64,64); %二维矩阵调制 for a1=1:64; for a2=1:64; if c(a1,a2)>=0.5; d(a1,a2)=1; else d(a1,a2)=0; end; end; end %水印加密 e=imread('c:\new\123.BMP'); e=double(e); f=bitxor(d,e);%mm=imread('c:\new\0.BMP');nn=double(mm);%h=bitxor(d,mm); g=bitxor(d,f); figure(2); subplot(2,2,1); imshow(d);title('混沌序列矩阵'); subplot(2,2,2); imshow(e);title('水印图像'); subplot(2,2,3); imshow(f);title('混沌加密水印图像'); imwrite(f,'c:\new\0.BMP'); subplot(2,2,4);

正交编码与伪随机序列

正交编码与伪随机序列

————————————————————————————————作者: ————————————————————————————————日期: ?

3. 正交编码与伪随机序列 在数字通信中,正交编码与伪随机序列都是十分重要的技术。正交编码不仅可以用作纠错编码,还可用来实现码分多址通信。伪随机序列在误码率测量、时延测量、扩频通信、通信加密及分离多径等方面有十分广泛的应用。 3.1. 正交编码 一、几个概念 1、互相关系数 设长为n的编码中码元只取+1、-1,x 和y是其中两个码组 )...,(21n x x x x =,)...,(21n y y y y =,其中)1,1(,-+∈i i y x 则x、y 间的互相关系数定义为 ∑==n i i i y x n y x 1 1),(ρ 如果用0表示+1、1表示-1,则 D A D A y x +-= ),(ρ,其中A 是相同码元的个数,D 为不同码元的个数。 2、自相关系数 自相关系数定义为:∑=+=n i j i i x x x n j 1 1)(ρ,其中下标的计算按模n 计算。 3、正交编码 若码组C y x ∈?,,(C 为所有编码码组的集合)满足0),(=y x ρ,则称C 为正交编码。即:正交编码的任意两个码组都是正交的。 例1:已知编码的4个码组如下: )1,1,1,1();1,1,1,1();1,1,1,1();1,1,1,1(4321--=--=--=++++=S S S S 试计算1S 的自相关系数、21,S S 的互相关系数。 4、超正交编码 若两个码组的互相关系数0<ρ,则称这两个码组互相超正交。如果一种编码中任何两个码组间均超正交,则称这种编码为超正交编码。 例2:例1中取后三个码组,且去掉第1位构成的编码为超正交编码。 (0,1,1),(1,1,0)(1,0,1) 5、双正交编码 由正交编码及其反码便组成双正交编码。

基于m序列的直接序列扩频

扩频通信实验 实验名称:基于m序列的直接序列扩频 专业班级:通信111501班 学生姓名:穆琦沈傲立孙琳王瑞学熊晓倩

学号:201115040111 13 16 20 27 指导教师:郑秀萍 时间:2014.10.29 1 需求分析 在通信发射端将载波信号展宽到较宽的频段上;在接收端,用同样的扩频码序列进行解扩和解调,把展宽的信号还原成原始信息.通过扩展频谱的相关处理,大大降低了频谱的平均能量密度,可在负信噪比条件下工作,获得了高处理增益,从而降低了被截获和检测的概率,避免了干扰影响.通过仿真模型结果分析抗噪声性能结果。 2 概要设计 扩频通信系统分为直接序列扩频系统、跳频扩频系统、跳时扩频系统和混合式扩频系统。直接序列扩频系统,又称“平均”系统或伪噪声系统,就是采用高码率的扩频码序列PN 码(伪随机码),在发送端与编码数据信号进行模2 加,产生一扩频序列,这一码序列由于码元很窄,占用了很宽的频带,达到扩频的目的,然后用扩频序列去调制载波并予以传输。在接收端接收到的扩频信号经高频放大混频之后,用与发端相同且同步的伪随机码对扩频信号进行相关解扩,由于收发端伪随机码的相关系数为1,故可以完全恢复所传的信息,而干扰和噪声由于与接收机伪

随机码不相关,在相关解调时大大降低进入信号通频带内的干扰。它是目前应用较广泛的一种扩展频谱系统。在国外已获得成功的空间探测器“喷气推进实验室(JPL)测距技术”就是一种直接序列调制,TATS-1 军用卫星中的扩展频谱多址(SSMA)系统等都使用DSSS。 直接序列扩频系统的接收一般采用相关接收,并分成两步,即解扩和解调。在接收端,接收信号经过数控振荡器放大混频后,用与发射端相同且同步的由M 序列发生器产生的伪随机码对中频信号进行相关解扩,把扩频信号恢复成窄带信号,然后再由基带滤波器进行解调,最后恢复出原始信息序列。扩频与解扩过程中,利用PN序列生成器模块( PN Sequence Generator ) ,产生6级、传输速率500b/s的PN伪随机序列来达到扩频和多址接入效果,这里扩频增益为50倍.扩频的运算是信息流与PN码相乘或模二加的过程.解扩的过程与扩频过程完全相同,即将接收的信号用PN码进行第二次扩频处理.要求使用的PN码与发送端扩频用PN码不仅码字相同,而且相位相同.否则会使有用信号自身相互抵消.解扩处理将信号压缩到信号频带内,由宽带信号恢复为窄带信号.同时将干扰信号扩展,降低干扰信号的谱密度,使之进入到信息频带内的功率下降,从而使系统获得处理增益,提高系统的抗干扰能力.调制与解调使用二相相移键控PSK方式. 为了方便分析, 我们可对系统作如下假设: 系统各用户同步;系统各用户功率相同;仅考虑系统MAI和白噪声干扰引起的误码, 忽略信号传输、调制解调过程中的误码。 3 开发工具和编程语言 开发工具:

基于FPGA 的伪随机序列的生成方法及应用

摘要:通过分析各种伪随机序列生成方法,提出了一种基于M 序列的连续抽样方法,可以生成满足自适应光学系统SPGD 控制算法要求的多路、相互独立以及服从伯努利分布的伪随机序列。该方法适合于用FPGA等超大规模集成电路实现,且具有占用硬件资源较少,实现方便等优点。用FPGA 实现了用于61 单元自适应光学系统SPGD 控制算法的伪随机序列,并将此方法应用于基于SPGD 控制算法的自适应光学系统实验中,实验表明,该方法能够满足自适应光学系统SPGD 算法的需求,系统实现成功闭环。 1 引言 随机序列是一组满足特定统计学规律的数据,在信号理论分析中应用非常普遍。由于精确的随机序列生成方法较为复杂,产生的随机序列不具有可重复性等特点,在很多应用场合使用伪随机序列。伪随机序列在扩频通信、信息加密和系统测试等诸多领域中都有着广泛的应用。在自适应光学SPGD 算法中,伪随机序列亦有相当重要的作用。 Vorontsov 等人在1997 年将SPGD 算法引入到自适应光学领域[2]。国内在近几年开始了对 SPGD 算法在自适应光学系统应用的研究,并且在计算机上用软件编程实现了算法,进行了自适应光学的系统实验[3]。自适应光学SPGD 控制算法的研究趋势是使用专用的信号处理硬件电路作为算法的实现平台,以获得更高的迭代速度和更好的收敛效果。Cauwenberghs等人设计了专用的模拟超大规模集成电路实现SPGD 控制算法,并且在一些应用领域进行了实验[5]。目前自适应光学系统的规模普遍达到几十上百单元。针对多单元自适应光学系统SPGD 控制算法的特殊要求,本文提出了一种适合于用FPGA 硬件电路产生满足算法要求的多路伪随机序列的生成方法,完成了FPGA 电路的硬件实现,并将其用于实现61 单元自适应光学SPGD控制算法,同时进行自适应光学的闭环实验。 2 自适应光学 SPGD 控制算法对伪随机序列的要求 SPGD(the Stochastic Parallel Gradient Descent algorithm)算法通过对多路的控制参数加入随机并行的扰动,使用性能指标测量值的变化量与控制参数的变化量进行控制参数的梯度估计,以迭代方式在梯度下降方向上进行控制参数的搜索。在自适应光学SPGD 算法中,控制参数为变形镜的控制电压,随机并行的扰动通过多路伪随机序列模拟。SPGD 算法中随机并行扰动的特性,对伪随机序列也提出了相应的要求[5]: (1) 路数多。路数等于变形镜单元数(即变形镜上驱动单元的数目)。例如在一个61 单元的自适应光学系统中,就需要产生61 路的伪随机序列。 (2) 伪随机序列两两相互独立。相互独立可避免变形镜各驱动单元间的相互耦合。 (3) 伪随机序列符合伯努利分布,两个样本值出现的概率各为0.5。 3 硬件电路实现伪随机序列的传统方法

伪随机序列matlab仿真

MATLAB实验报告 姓名:李金玮 学号:14061114 班级:14184111

实验七伪随机序列的产生与相关特性分析 一、实验目的 1、了解伪随机序列的相关知识。 2、了解m 序列的相关知识,了解其相关性质。 3、学会用matlab 实现方框图描述的系统,并由此产生m 序列。 二、相关理论知识 (1)伪随机序列 伪随机序列, 又称伪随机码, 是一种可以预先确定并可以重复地产生和复制, 又具有随机统计特性的二进制码序列。在现代工程实践中, 伪随机信号在移动通信、导航、雷达和保密通信、通信系统性能的测量等领域中有着广泛的应用。例如,在连续波雷达中可用作测距信号, 在遥控系统中可用作遥控信号, 在多址通信中可用作地址信号, 在数字通信中可用作群同步信号, 还可用作噪声源以及在保密通信中的加密作用等。伪随机发生器在测距、通信等领域的应用日益受到人们重视。 伪随机信号与随机信号的区别在于: 随机信号是不可预测的, 它在将来时刻的取值只能从统计意义上去描述;伪随机序列实质上不是随机的, 而是收发双方都知道的确定性周期信号。之所以称其为伪随机序列, 是因为它表现出白噪声采样序列的统计特性, 在不知其生成方法的侦听者看来像真的随机序列一样。m 序列作为一种基本的PN 序列,具有很强的系统性、规律性和相关性。 (2)m 序列的产生 ①线性反馈移位寄存器 m 序列发生器的系统框图如图。其中加法器为“模2 相加”运算,寄存器与反馈的每一位只有1、0 两种状态。

由于带有反馈,因此在移位脉冲作用下,移位寄存器各级的状态将不断变化,通常移位寄存器的最后一级做输出,输出序列为 输出序列是一个周期序列。其特性由移位寄存器的级数、初始状态、反馈逻辑以及时钟速率(决定着输出码元的宽度)所决定。当移位寄存器的级数及时钟一定时,输出序列就由移位寄存器的初始状态及反馈逻辑完全确定。当初始状态为全零状态时,移位寄存器输出全0序列。为了避免这种情况,需设置全0 排除电路。 三、实验任务 编写程序利用5级移位寄存器产生m序列,初始状态全1,抽头系数[1 0 0 1 1 1]。 四.我自己的实验代码 clear all close all solve=0; zk=0 a=[1,1,1,1,1]%an c=[1,1,1,0,1,1] lena=length(a) lenb=length(c) newc=fliplr(c) shuchu=zeros(1,lena) for zk=1:2^(lena+1) for k=1:lena zhong(k)=a(k)*newc(k); lenz=length(zhong) %solve=0; end%%%%得到每个被加数 for t=1:lenz solve=solve+zhong(lenz-t+1) if solve>=2 solve=0

相关文档
最新文档