汽车尾灯控制电路设计

汽车尾灯控制电路设计
汽车尾灯控制电路设计

1、EDA技术发展及介绍

1.1EDA技术的介绍

EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL ( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL 语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD 开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。

1.2 EDA技术的发展

可将EDA技术分为三个阶段。

(1)七十年代为CAD阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

(2)八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计,这就是计算机辅助工程的概念。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

(3)九十年代为ESDA阶段,尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的

衔接。基于以上不足,人们开始追求:贯彻整个设计过程的自动化,这就是ESDA即电子系统设计自动化。

1.3 EDA技术的发展趋势

目前的EDA产业正处在一场大变革的前夕,对更低成本、更低功耗的无止境追求和越来越短的产品上市压力正迫使IC供应商提供采用0.13μm或以下的千万门级的系统芯片,而这些系统芯片的高复杂性设计更加依赖于EDA供应商提供全新的设计工具和方法以实现模拟前后端、混合信号和数字电路的完全整合。然而,这些新的需求为当代EDA 工具和设计方法带来了不少新的挑战与机会。

例如,如何在工艺上防止模拟电路与数字电路之间的干扰;现有的大部份EDA工具最多只能处理百万门级设计规模,随着IC设计向千万门级以上规模发展,现有EDA工具和方法必须进行升级。如何融合各EDA供应商的工具,以便向IC设计界提供更高效能和更方便的RTL-to-GDSII或Conc-ept-to-GDSII整合设计环境;为保证深亚微米(0.13μm或以下)和更低内核工作电压(1.8V或以下)时代的信号完整性和设计时序收敛,必须采用新的设计方法。

半导体工艺的每一次跃升都促使EDA工具改变自己,以适应工艺的发展;反过来EDA 工具的进步又推动设计技术的发展。可以说EDA工具是IC设计产业的背后推手。

系统芯片(SOC)正在迅速地进入主流产品的行列。由此引发的“芯片就等于整机”的现象,将对整个电子产业形成重大的冲击。种种迹象表明,整个电子产业正在酝酿着一场深刻的产业重组,这将为许多新兴的企业提供进入这一行业的最佳。

2、总体方案设计

2.1设计内容

要求设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R2→R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L2→L3→全灭→L1);汽车倒车或临时刹车时,所有指示灯按时钟信号同步闪烁。

2.2设计方案比较

方案一:由单片机AT89S52来实现汽车尾灯控制电路的设计,外围电源采用+5V 电源供电,时钟由12MHZ的晶振产生,通过按键的状态来检测汽车的行使状态,中央处理器由AT89S52单片机来完成,汽车行使状态由左右两侧的各三个LED发光二极管来模拟。这种方案,结构简单容易掌握,各部分电路实现起来都非常容易,在传统的汽车尾灯设计中也应用得较为广泛,技术成熟。其原理框图如图2-1:

图2-1单片原理实现框图

方案二:基于现场可编程逻辑门阵列FPGA,通过EDA技术,

采用VerilogHDL硬件描述语言实现汽车尾灯控制电路设计。程序设计思想为:对输入信号采用四种状态进行优先编码实现A1、A0的组合,由时钟触发环形技术器进行环形计数,输出中间状态Q2、Q1、Q0,再通过组合逻辑电路输出结果状态。其框图如图2-2:

图2-2汽车尾灯电路控制框图

2.3方案论证

通过方案一二的比较,可以看出方案一的设计使用分立元件电路较为多,因此会增加电路调试难度,且电路的不稳定性也会随之增加,而采用FPGA芯片实现的电路,由于在整体性上较好,在信号的处理和整个系统的控制中,FPGA的方案能大大缩减电路的体积,提高电路的稳定性。此外其先进的开发工具使整个系统的设计调试周期大大缩短,一般来讲,同样的逻辑,基于FPGA要比基于单片机要快很多,因为它们工作的原理是完全不同的。单片机是基于指令工作的,同样的激励到达单片机后,单片机首先要判断,然后读取相应的指令,最后作出相应,这每一步都是需要在单片机的时钟驱动下一步步的进行。而基于FPGA则是把相应的逻辑“暂时”固化为硬件电路了,它对激励作出的响应速度就是电信号从FPGA的一个管脚传播另一个管脚的传播速度,当然这指的是异步逻辑,同时电信号也要在芯片内进行一些栅电容的充放电动作,但这些动作都是非常非常快的。

2.4方案选择

结合现代汽车的整体性能的提升,也对其各个部件的性能提出了更高的要求,尤其在现代SOC技术的引领下,人们对低故障、高实时、高可靠、高稳定的性能更加青睐,结合本设计的要求及综合以上比较的情况,我们选择了基于FPGA的汽车尾灯控制电路方案。

3、单元模块设计

本设计由现场可编程门矩阵(FPGA)作为控制芯片,通过VreilogHDL硬件描述语言设计,运用自顶而下的设计思想,按功能逐层分割实现层次化的设计。总体设计方案为由按键(I3、I2、I1)状态模拟汽车的行驶状态输入,通过优先级编码器编码为具有优先级的A1、A0状态量;而尾灯的循环点亮状态由环形计数器来实现,与时钟频率同步闪烁状态按时钟状态取反来实现。下面介绍主要模块的功能及作用。

3.1有源晶振电路

图3-1有源晶振电路

采用有源晶振作为时钟信号源,它是一个完整的振荡器,其内部除了石英晶体外还有阻容软件和晶体管,有源晶振信号质量好,比较稳定,而且连接方式比较简单。主要是作为电源滤波,通常使用的为一个电容和电感组成的PI型滤波网络,输出端使用一个小阻值电阻过滤信号。串电阻可减小反射波,避免反射波叠加引起过冲,减少谐波以及阻抗匹配,减小回波干扰及导致的信号过冲。

由于本设计所用的为20MHZ的晶振,而20MHz以下的晶体晶振基本上都是基频的器件,稳定度好,20MHz以上的大多是谐波的(如3次谐波、5次谐波等等),稳定度差,因此我们使选用频的器件,毕竟倍频用的PLL电路需要的周边配置主要是电容、电阻、电感,其稳定度和价格方面远远好于晶体晶振器件。

3.2供电电路

图3-2供电电路

本设计中使用到了三个电源,第一是+5V的电源,用于为上拉电阻提供电压;第二是+3.3V电源,用于为FPGA芯片提供工作电压;第三是+2.5V电源,用于为FPGA芯片内核工作提供电压。在FPGA芯片管脚上,+2.5V电源必须接在内核电源输入端(VCCINT)上,而VCCIO是芯片输入输出引脚工作电源,根据输入输出的设备不同,可以接2.5 V、3.3 V或5.0 V。

特别注意的是EPC1PC8的工作电压必须为3.3V,且该配置芯片属于Flash Memory 闪存)器件,具有可擦写的功能。

3.3 PS配置电路

图3-3 配置电路

配置电路采用被动串行(PS)模式,为了利用ByteBlasterMV下载电缆配置EP1K30TC144器件,3.3V的电源应该接上拉电阻,电缆的VCC脚连到3.3V电源,而器件的VCCINT的引脚连接到相应的2.5V,对于PS配置电路,器件的VCCIO引脚必须连接到2.5V。

上拉电阻接到配置器件的电源端,这里接到了+5V电源端。nCS接到nCONFIG端,OE接到nSTATUS端,DCLK与DCLK相连接,DATA与DATA0相连接。nCEO引脚端悬空。

3.4 按键输入电路

图3-4 按键输入电路

在设计中利用四个独立键盘来模拟汽车行驶时的四种状态,当对键被按下时,对应输入状态为低电平,通过取非后转换为输入信号为高,进而控制汽车尾灯的点亮状态。当四个键都未被按下时表示汽车处于正常行驶状态,汽车尾灯没有任何指示。当汽车处于刹车状态时,即I3对应的键被按下,汽车尾部的灯全部按照时钟频率同步闪烁。

3.5 LED灯输出电路

图3-5 LED灯输出电路

6个LED灯模拟汽车尾灯的左转、右转、刹车及正常行驶时的状态。

4、特殊器件的介绍

4.1 CPLD器件介绍

CPLD是Complex Programmable Logic Device的缩写,它是有最早的PLD器件发展形成的高密度可编程逻辑器件,它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点。 CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。

许多公司都开发出了CPLD可编程逻辑器件。比较典型的就是Altera、Lattice、Xilinx世界三大权威公司的产品。如 Altera公司的MAXII器件,就是其极具代表性的一类CPLD器件,是有史以来功耗最低、成本最低的CPLD。MAX II CPLD基于突破性的体系结构,在所有CPLD系列中,其单位I/O引脚的功耗和成本都是最低的。

Altera公司的MAX7000A系列器件是高密度、高性能的EPLD,它是基于第二代MAX 结构,采用CMOS EPROM工艺制造的。该系列的器件具有一定得典型性,其他结构都与此结构非常的类似。它包括逻辑阵列块、宏单元、扩展乘积项、可编程连线阵列和IO 控制部分。由于大多数CPLD是基于乘积项的“与或”结构,故适合设计组合逻辑电路。

4.2 FPGA器件介绍

FPGA(Field-Programmable Gate Array)可以达到比PLD更高的集成度,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展起来的,具有更复杂的布线结构和逻辑实现。PLD器件和FPGA的主要区别在于PLD是通过修改具有固定内连电路得逻辑功能来进行编程,而FPGA是通过修改一根或多根分割宏单元的基本功能块的内连线的布线来进行编程。它一般由可嵌入式阵列块(EAB)、逻辑阵列块(LAB)、快速互联通道(Fast Track)、IO单元(IOE)组成。

Altera Cyclone II 采用全铜层、低K值、1.2伏SRAM工艺设计,裸片尺寸被尽可能最小的优化。采用300毫米晶圆,以TSMC成功的90nm工艺技术为基础,Cyclone II 器件提供了4,608到68,416个逻辑单元(LE),并具有一整套最佳的功能,包括嵌入式

18比特x18比特乘法器、专用外部存储器接口电路、4kbit嵌入式存储器块、锁相环(PLL)和高速差分I/O能力。Cyclone II 器件扩展了FPGA在成本敏感性、大批量应用领域的影响力,延续了第一代Cyclone器件系列的成功。

由于FPGA是基于查找表(LUT)结构的器件,且每个LAB由10个LE组成,一个LE 由LUT和寄存器组成,适合于时序逻辑电路的设计。

4.3 EP1K30TC144器件介绍

ACEX1K器件是Altera公司在2000推出的2.5V低价格SRAM工艺FPGA结构与10KE 类似,带嵌入式存储块(EAB),部分型号带PLL,主要有1K10、1K30、1K50、1K100等型号。EP1K30TC144器件中,EP1K表示器件类型,30表示器件内有30K个逻辑门,T代表封装类型,C表示用途为商用,144表示管脚数为144。其引脚图如图4-3所示

图4-3 EP1K30TC

5、最小系统原理

图5-1电路原理图

本设计的电路实现是基于FPGA最小系统原理图,再配以所需的外设。最小系统设计包含了时钟产生电路模块、程序下载配置电路模块、电源电路模块,通过连线将各个模块进行连接成最小系统。由于本设计电路比较简单,外设比较少,使用到的四个独立键盘,模拟实现信号输入,六个LED灯模拟实现信号状态指示。我们队外设也作了扩展准备,将FPGA芯片的IO引脚进行了插针引出,以方便后续电路的扩展。

将外设与最小系统进行合理正确连接,即可实现本设计的电路原理要求。

6、软件实现

通过至顶向下(TOP--DOWN)的设计方法,我们对电路的设计要求作了分析,从电路要实现的功能着手,逐层分析电路设计的步骤,再具体到各个模块的设计实现以及各模块实现方案的选择。从本设计的电路要求,我们分析了需要实现一个输入状态的编码,以及对循环点亮灯的方式的选择,综合这两种状态控制输出信号的状态变化。其电路功能表如图6-1。

图6-1汽车尾灯控制电路功能表

图6-2软件设计流程图

6.1软件设计

设计程序如下:

module myedadesign_ (R2,R1,R0,L2,L1,L0,CLK,I3,I2,I1,CLK_2HZ);

output R2,R1,R0,L2,L1,L0;

output CLK_2HZ;

input I3,I2,I1;

input CLK;

reg R2,R1,R0,L2,L1,L0;

reg [1:0]A;

always @(I3 or I2 or I1)

begin

if(I3)

A[1:0]=2'b00;

else if(I2)

A[1:0]=2'b10;

else if(I1)

A[1:0]=2'b01;

else

A[1:0]=2'b11;

end

//------------------------------------------优先级编码实现对输入信号的编码reg[2:0]Q;

reg[2:0]STATE,NEXT_STATE;

reg [22:0]count; //分频计数器

reg CLK_2HZ; //----------------------------------------------2HZ时钟parameter STATE0=3'b000,STATE1=3'b001,

STATE2=3'b010,STATE3=3'b011,

STATE4=3'b100,STATE5=3'b101,

STATE6=3'b110,STATE7=3'b111;//-----------定义状态常量parameter f_clk_in=20_000_000,//晶振频率

f_clk_out=2, //分频后频率

count_full=f_clk_in/f_clk_out/2-1;//-----计数次数4C4B3F always @(posedge CLK)

begin

if(count==0)

count=count_full;

else

count=count-23'b1;

end

//------------------------------------------分频实现20MHZ到2HZ的转换

always @(negedge CLK)

begin

if(count==0)

CLK_2HZ<=!CLK_2HZ;

end

//------------------------------------------------------------产生2HZ的时钟always @(posedge CLK_2HZ)

begin

STATE<=NEXT_STATE;

end

always @(posedge CLK_2HZ)

begin

case(STATE)

STATE0:begin NEXT_STATE<=STATE1;end

STATE1:begin NEXT_STATE<=STATE2;end

STATE2:begin NEXT_STATE<=STATE4;end

STATE3:begin NEXT_STATE<=STATE6;end

STATE4:begin NEXT_STATE<=STATE1;end

STATE5:begin NEXT_STATE<=STATE2;end

STATE6:begin NEXT_STATE<=STATE4;end

STATE7:begin NEXT_STATE<=STATE6;end

endcase

end

//---------------------------------------------------------状态机实现环形计数器always @(posedge CLK_2HZ)

begin

case(STATE)

STATE0:Q<=3'b000;

STATE1:Q<=3'b001;

STATE2:Q<=3'b010;

STATE3:Q<=3'b011;

STATE4:Q<=3'b100;

STATE5:Q<=3'b101;

STATE6:Q<=3'b110;

STATE7:Q<=3'b111;

endcase

end

//--------------------------------------------------------设置状态转移量状态always @(STATE)

begin

if(A==2'b00)

begin R2=0;R1=0;R0=0;L2=0;L1=0;L0=0;end

else if(A==2'b01)

begin R2=Q[2];R1=Q[1];R0=Q[0];L2=0;L1=0;L0=0;end

else if(A==2'b10)

begin R2=0;R1=0;R0=0;L2=Q[2];L1=Q[1];L0=Q[0];end

else if(A==2'b11)

begin

R2=~CLK_2HZ;R1=~CLK_2HZ;R0=~CLK_2HZ;L2=~CLK_2HZ;L1=~CLK_2HZ;L0=~CL K_2HZ;end

end//-------------------------------------------------输出信号状态实现endmodule

6.2环形计数器状态转移图

图6-3环形计数器状态转移图

环形计数器是由移位寄存器加上一定的反馈电路构成的,用移位寄存器构成环形计数器是由一个移位寄存器和一个组合反馈逻辑电路闭环构成,反馈电路的输出接向移位寄存器的串行输入端,反馈电路的输入端根据移位寄存器计数器类型的不同,可接向移位寄存器的串行输出端或某些触发器的输出端。环形计数器,是把移位寄存器最低一位的串行输出端Q1反馈到最高位的串行输入端(即D触发器的数据端)而构成的。

在此设计中我们用到得为三位环形计数器,在移位脉冲(时钟)的作用下,反复在三位移位寄存器中不断循环。该环形计数的计数长度为N=n。和二进制计数器相比,它有2n-n个状态没有利用,它利用的有效状态是少的。

要想使环形计器在选定的时序中工作,就必须防止异常时序和死态的出现,因此我们必须对其余无效的状态全部回到有效状态中去。

7、系统仿真及调试

7.1仿真

通过QuartusII软件,我们进行了仿真,其仿真波形如下图:

图7-1波形仿真图

由设计要求可知,本设计输入为四种状态,分别由I3、I2、I1、I0控制,其中I0为无用状态。当I3、I2、I1都为低电平时,汽车处于正常行驶状态,汽车尾部的灯全部处于熄灭状态;当I1为高电平(1)时,汽车处于右转状态,对应输出为汽车尾部右侧三个灯循环点亮,实现了指示右转的状态的功能;当I2为高电平(1)时,汽车处于左转状态,对应输出为汽车尾部左侧三个灯循环点亮,实现了指示左转的状态的功能;当I3为高电平(1)时,汽车为刹车状态,对应输出转态为时钟状态取反,实现了左右6个灯闪烁(R2、R1、R0、L2、L1、L0)。

通过分析可知汽车刹车的状态为为优先级最高,汽车无论行驶在什么状态,只要输

入状态为刹车信号(I3为高电平),汽车立即显示闪烁状态指示刹车。当没有刹车信号输入时,输入左转信号(I2)时,汽车尾部左侧三个等循环点亮,其优先级次之;由于左转、右转信号不可能同时发生,故可将与I2无优先级的I1信号设置为第三优先级,当没有刹车信号及左转信号时,汽车响应右转状态;当然汽车正常行驶时(I3、I2、I1都为0)优先级最低。

在QuartusII软件中利用硬件描述语言描述电路后,用RTL Viewers生成的对应的电路图如下:

7.2 调试

在QuartusII软件中,通过对所设计的硬件描述语言代码进行波形仿真后,达到了预期效果,于是,我们在该软件上进行下载配置设置。在Assignments菜单下选中Devices,在Family栏选择ACEX1K,选中EP1K30TC144-2器件。再在Assignments菜单下选中Pins按照相应要求对管脚进行锁定。最后在Tools菜单下,选中Programmer,对配置方式进行设置,这里选择Passive Seril(PS)被动串行模式。选择好要下载的硬件设备后点击Start即可开始编程下载了。

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

汽车车灯控制电路

课程设计说明书 课程设计名称:电子技术课程设计 题目:汽车车灯控制电路 学生姓名:杰 成绩

专业:电气工程及其自动化学号:20 指导教师:吴昌东 日期:2016年7 月1日

汽车车灯控制电路 摘要:本方案设计了一个“汽车车灯控制电路”。“汽车车灯控制电路”作为电子技术基础课程的一个实践,采用74LS138译码器控制输出实现对六盏指示灯的控制,并配合74LS76JK触发器实现三进制循环计数器控制,即可轻易实现汽车正常运行和刹车的情况模拟。为实现汽车左右转弯时车灯循环闪烁的功能,需要配合74LS138译码器的另一输入S1即可实现。定时脉冲源部分由555定时器组成的多谐振荡器电路实现。 关键词:汽车;指示灯,74LS138,555定时器 Abstract: The design of this project is a " Automobile lamp control circuit", as a basic course of electronic technology practice. In order to realize the control of the six pilot lamp, automobile lamp control circuit uses the 74LS138 decoder to control the output, and with the 74LS76JK trigger to achieve three decimal cycle counter control, so that can easily achieve simulation of normal operation of the car and brake. In order to realize the function of cycle flashing of the lamp when the vehicle turns around,needs to cooperate with the another input S1 of the 74LS138 decoder . The timing pulse source is realized by the circuit of multivibrator circuit composed of 555 timer. Keyword:Automobile,pilot lamp,74LS138,555 timer

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

汽车车灯控制系统讲解

信息科学与技术学院微机原理与接口技术 课程设计报告 题目名称:汽车车灯控制系统 学生姓名:吴权权 学号: 2009082190 专业年级:计科09-1班 指导教师:裘祖旗 时间: 2012-1-12

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

数电课程设计报告 汽车尾灯控制电路设计

数字逻辑电路课程设计 一、设计要求 1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟); 2、汽车正常运行时指示灯全灭; 3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮; 4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮; 在临时刹车时,所有指示灯同时闪烁。 二、总方案设计 (1)列出尾灯与汽车运行状态表如下:

(2)设计总框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S i、s o、CP、Q o) 的关系,即逻辑功能表如下表所示: 由上表得出在总体框图如下

(3)设计单元电路 三进制计数器电路 由74LS161构成如下所示: = 10riF 译码电路 由3-8先译码器74LS138和6个与非门构成。74LS138的三个输入端 A 2、A i 、A 。分别接Q i 、Q °,而Q i 、Q °是三进制计数器的输出端。 当S i =0、使能信号A=G=1,计数器的状态为00, 01, 10时;74LS138 对应的输出端Y 。,Y i ,丫2依次为0有效(Y 3,丫4,丫5信号为“1” 无效),即反相器G i ~G 3的输出端也依次为0,故指示灯D i ^D 2T D 3 按顺序点亮,示意汽车右转弯。若上述条件不变,而S i =i ,则74LSi8 对应的输出端丫4、 丫5、丫6依次为0有效,既反相器G 4~G 6 的输出端为0,故指示灯按D 4F5TD 6顺序点亮,示意汽车左转弯。 VCC SY wkn 555 VIRTUAL R11 R 1 5 V C

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

汽车尾灯控制电路

汽车尾灯控制电路 设计者:

汽车尾灯控制电路 内容摘要 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 一、设计内容及要求 本课题设计一个汽车尾灯的控制电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯同时闪烁。 当接通检查电键时,汽车所有的尾灯点亮。 二、电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 三、系统方案的选择 在设计本电路时,一共考虑过三种方案。这三种方案的不同点在于产

生001、010、100三种信号的方法不同。下面简单的介绍一下这三种方案: 第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。0 设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。 则 经过 ) ()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。 电路图如下: 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 第二种方案:通过74LS194移位寄存器来产生001、010、100的三种

课程设计——汽车尾灯控制器的设计1

成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 题目汽车尾灯控制器的设计 学生姓名 专业 班级 指导教师 日期:2010年7月5日

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each module.Car taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module.Each module after the formation of a car taillight integrated controller.Through the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory

汽车车灯控制系统DOC

信息科学与技术学院微机原理与接口技术课程设计报告 题目名称:汽车车灯控制系统

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

3.主流程图 No Yes Yes RET No Yes RET No Yes RET No Yes RET No Yes RET No 非定义字符 RET Yes 开始 与W 比较 有无按健 退出 等待 与A 比较 与D 比较 调用DRAW_W 调用DRAW_A 调用DRAW_D 与S 比较 调用DRAW_S 和响铃函数 与B 比较 调用STOP 与空格比较

汽车尾灯控制电路设计及仿真报告

汽车尾灯控制电路设计及仿真报告 小组成员: 题目要求: 3.55 小汽车左、右两侧各有3只尾灯,当汽车左转弯时,左侧的尾灯按下表所示方式周期性亮灭;右转弯时,右侧尾灯也按此规律变化;当汽车制动停车时,6只尾灯同时亮;若在转弯前制动,则表示转弯的尾灯正常动作,另一侧的尾灯全亮。试设计上述功能的小汽车尾灯控制电路。 Present State Next State A B C A B C 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 0 0 0 0 0 0 1 1 1 *1表示灯亮,0表示灯灭。 功能分析: (Ⅰ) 根据题目要求,设计电路的输入端有三个,为TL、TR、ST, 分别代表左转弯、右转弯及制动(约定三个输入为1时,代表发出上述信号)。输出端有六个,A L、B L、C L代表左侧3个尾灯,A R、B R、C R代表右侧3个尾灯(约定1表示灯亮,0表示灯灭),经观察发现,两侧A、C尾灯在任何状态下亮灭状态同步,所以这两个灯可以用一个输出信号控制。 (Ⅱ) 车尾灯的状态可以根据输入信号分为两类。 一是转向信号和制动信号只有其中之一作用(由实际情况可知,左转弯,右转弯信号不可同时发出,即TL、TR、ST三者只有一个为1,其余为0),此类型对应的实际情况包含三种,分别为左转弯,右转弯和刹车制动。当只发出一侧的转弯信号时,该侧车尾灯呈现如题目要求的循环亮灭;当只发出制动信号时,两侧车尾灯全部点亮。 二是转向信号和制动信号两者同时起作用,此类型对应的实际情况包含两种,分别为直行和转弯(前)制动。当转向信号和制动信号都没有发出时,即TL、TR、ST均为0时,所有尾灯均熄灭。当转向信号其一和制动信号同时发出时,发出转向信号的一侧车尾灯呈现如题目要求的循环亮灭,另一侧车尾灯全部点亮。 关于转弯前制动,题目中并没有明确说明此时的输入信号是怎样的情况,经小组讨论,我们一致决定,转弯前制动代表转向信号其一和制动信号同时发出。 根据上述功能分析,设计电路的功能表如下表所示。 类别输入信号输出信号

EDA汽车尾灯控制课程设计报告

《EDA技术应用》 课程设计报告 专业:通信工程 班级:09312班 姓名:某某某 指导教师:杨祖芳曾凡忠 2012年05月20日

目录 1引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1EDA技术 (1) 2.2硬件描述语言(VHDL) (2) 3汽车尾灯控制器的设计过程 (3) 3.1系统需求分析 (3) 3.2汽车尾灯控制器的工作原理 (3) 3.3各组成模块原理及程序 (4) 4系统仿真 (9) 4.1分频模块仿真及分析 (9) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (12) 4.5整个系统仿真及分析 (13) 结束语 (15) 指导老师意见 (16) 参考书目 (16)

1引言 随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。 1.1 设计的目的 其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。 1.2 设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 2 EDA、VHDL简介 2.1 EDA技术 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

相关文档
最新文档