汽车尾灯控制电路设计报告

汽车尾灯控制电路设计报告
汽车尾灯控制电路设计报告

前言

汽车现今已是非常普遍的交通工具,作已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性,难以满足现代汽车的智能化发展,而本课题可解决此问题。

本次的课题是基于单片机的汽车尾灯控制器,该设计课题主要由AT89S52单片机为核心展开的汽车尾灯控制电路的设计方法,用发光二极管模拟汽车尾灯,按键开关作为转弯等控制信号。通过设计汽车尾灯显示控制电路,能很好的综合运用我们所学习到的单片机、C语言、模拟电路等知识,熟悉电子电路设计的基本方法。在实际应用中有很多种方法来实现汽车尾灯的控制,但此次以单片机为核心的控制电路体现出电路简单、制作方便、容易操作、可反复擦写、性能可靠等特点。

目录

前言 (1)

2 系统组成及原理 (4)

2.1系统组成 (4)

2.2 设计原理分析 (4)

3 单元电路设计 (6)

3.1 秒脉冲电路的设计 (6)

3.2 开关控制电路的设计 (7)

3.3 三进制计数电路的设计 (8)

3.4译码驱动电路的设计 (9)

4 系统的调试与结果 (11)

总结 (12)

参考文献 (13)

1 设计内容及要求

本次设计的任务是设计、制作一个汽车尾灯显示的电路。

设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:

①以MCS-51系列单片机为核心,设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯。当接通相应的开关按键时,指示灯按照指定要求闪烁。

②汽车尾灯由四个电键控制,分别对应着左转、右转、刹车和检查功能。

③当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。

④当接通刹车电键时,汽车所有的尾灯同时闪烁。

⑤当接通检查电键时,汽车所有的尾灯点亮。

1.1 设计方案分析

本次设计方案主要有四个模块:脉冲发生电路、开关控制电路、三进制电路和译码驱动电路。通过把这四个模块组合连接来实现汽车尾灯控制。首先,通过555定时器构成的多谐振荡器产生脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。最后,左转、右转的原始信号通过6个与非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能。

2 系统组成及原理

2.1系统组成

本设计主要由四部分组成:

①秒脉冲振荡电路;

②开关控制电路;

③三进制电路;

④译码驱动电路。

2.2 设计原理分析

汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(D1-D3)3个发光二极管。用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。当右转弯开关被打开时,右转弯尾灯显示的3个发光二极管按右循环显示。当左转弯开关被打开时,左转弯尾灯显示的3个发光二极管按左循环显示。当急刹车时,6个发光二极管闪烁。

图2.1 右转弯显示规律图

图2.2 左转弯显示规律图

图2.3 刹车显示规律图

图2.4 检查电键显示规律图

根据以上要求,要实现当右转弯开关打开时,右转弯尾灯显示的3个发光二极管按右循环规律显示,如图2.1;当左转弯开关打开时如图2.2;刹车时如图2.3;检查电键时如图2.4.

根据不同的状态,绘制汽车尾灯和汽车运行状态表如表3-1所示。

设左转弯按键为S0,右转弯按键为S1。

表2-1 汽车尾灯和汽车运行状态表

3 单元电路设计

3.1 秒脉冲电路的设计

方案一:石英晶体振荡器:

此电路的振荡频率仅取决于石英晶体的串联谐振频率fs,而与电路中的R、C的值无关。所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器:

由555定时器构成的多谐振荡器。由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。因此采用此方案。

图3.1 555多谐振荡电路

3.2 开关控制电路的设计

开关控制电路通过控制开关S

0和S

1

的闭合与断开来实现汽车检查、左转弯、

右转弯和刹车四种状态。

S 0、S

1

置于00状态时,汽车处于检查状态;

S 0、S

1

置于01状态时,汽车处于右转弯状态;

S 0、S

1

置于10状态时,汽车处于左转弯状态;

S 0、S

1

置于11状态时,汽车处于刹车状态。

图3.2 开关控制电路开关控制逻辑表达式:

3.3 三进制计数电路的设计

汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系。

逻辑功能表:(0表示灯灭,1表示灯亮)

表3-1 三进制计数器功能表

此计数器由74LS163芯片主要构成,74LS163计数功能简介:其计数是同步的,靠CP同时加在四个触发器上而实现的,当CTp和CTt均为高电平时,在CP

上升沿作用下Q

0-Q

3

同时变化,从而消除了异步计数器中出现的计数尖峰。对于

74LS163,只有当CP为高电平时CTp和CTt才允许高至低电平的跳变,而与CP 无关。

表3-2 74LS163真值表

图3.3 三进制计数器电路图

3.4译码驱动电路的设计

此电路由74LS138芯片和6个与非门,6个反向器和发光二极管构成。

74LS138芯片简介:74138为3线-8线译码器,,其工作原理如下:当一个选通端为高电平,另两个选通端为低电平时,可将地址端的二进制编码在一个对

应的输出端以低电平译出。若外接一个反向器可级联扩展成32线译码器,若将选通端中的一个作为数据输入端时,74138还可以做数据分配器。

译码、显示驱动电路图如下图所示:

图3.4 驱动显示电路图

4 系统的调试与结果

1.当汽车检查时,S0S1处于00状态,指示灯全亮。仿真结果在实验仿真过程中已显示。

2.当汽车右转,S0S1处于01状态,LED1、2、3循环点亮,仿真结果在实验仿真过程中已显示。

3.当汽车左转时,S0S1处于10状态,LED4、5、6循环点亮,仿真结果在实验仿真过程中已显示。

4.当汽车刹车时,S0S1处于11状态,6盏灯同时闪烁,仿真结果如下:

图4.1 汽车尾灯控制电路仿真电路图

总结

第一次连接好电路后,电路的显示结果与预期结果不太相同,不能实现计数功能,即LED灯不能循环点亮,但在请教同学和老师、进行小组讨论和经过多次检修后,终于实现了课程设计任务的全部功能。

数字逻辑是电子科学与技术专业学生必修的一门专业基础课,我们进行数字电子课程设计是我们理论联系实际的最好途径,将书本上的知识利用到实际的分析解决问题中去,这样使我们更加牢固的掌握分析与设计的基本知识与理论,更加熟悉的各种不同规模的逻辑器件,掌握逻辑电路的分析和设计的基本方法,为以后的学习奠定基础。

通过为期一周的课程设计,基本完成了本次设计的设计要求:汽车正常运行时指示灯全灭,汽车右转弯时,右侧3个灯按右循环顺序点亮,车左转弯时,左侧3个灯按左循环顺序点亮,汽车临时刹车时所有指示灯同时闪烁。从一开始接受课程设计任务,后着手建立设计框图,再到图书馆和网上查阅相关资料,确定电路图到最终制作成型,每一步都必须认真仔细。虽然开始并不是很成功,不能实现计数功能,但在请教同学、小组讨论和经过多次检修后,终于实现了课程设计任务的全部功能。

本次课程设计汽车尾灯让学生能更加深入的了解许多芯片的接法以及功能表,设计了脉冲电路,译码控制电路,三进制计数器,开关控制发光二极管等,将各个部分组成起来设计成为汽车尾灯控制电路。

参考文献

1.刘勇著《数字电路》电子工业出版社

2.屠其非LED用于汽车尾灯的展望光源与照明2001

3.张庆双主编《实用电子电路200例》机械工业出版社2005年版4.陈光梦主编《数字电子学基础》复旦大学出版社2005年3月5.刘修文主编《图解电子技术要诀》中国电力出版社2005年6月版

附录I :电路总图

附录II:元件清单

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

汽车尾灯控制电路设计

电子技术综合实验2 (开放型实验) 实验指导书 南昌航空大学信息工程学院电工电子教研室 2009年8月

实验一汽车尾灯控制电路设计 一、设计型实验的目的与任务 实验目的:使学生熟悉和掌握实际电子技术应用所需要的完整流程,即电路原理图设计、电路性能仿真与测试、电路板的制作、硬件电路的调试这一整套技能。 实验任务:在计算机上绘制电路原理图,完成设计电路的软仿真。在电子技术实验箱上搭建实物电路,并完成硬件电路的调试。观察实验现象,写出实验报告。 二、设计要求 假设汽车尾部左右两侧各有3个指示灯(可用试验箱上的电平指示二极管模拟) 1、汽车正常运行时指示灯全灭 2、右转弯时,右侧3个指示灯按右循环顺序点亮 3、左转弯时左侧3个指示灯按左循环顺序点亮 4、临时刹车时所有指示灯同时闪烁 三、设计内容 1、列出尾灯与汽车运行状态表,如表1-1所示 表1-1 2、设计总体框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出每种运行状态下,个指示灯与各给定条件(S1、S0、CP、Q1、Q0 )的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。 表1-2

图1-1 3、设计单元电路 三进制计数器电路。由双JK 触发器74LS76构成,可根据表1-2进行设计。汽车尾灯电路。其显示驱动电路由6个发光二极管和6个反相器构成。译码电路由三线译码器74LS138和6个与非门构成。74LS138的三输入端A2、A1、A0分别按Q1、Q0,而Q1Q0是三进制计数器的输出端 Y 0,Y 1,Y 2,依次为0有效(Y 3,Y 4,Y 5的符号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LS138对应的输出端Y 4,Y 5,Y 6依次为0有效,即反相器G4~G6的输出端也依次为0,故指示灯D4→D5→D6顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭:当G=0,A=CP 时,指示灯随CP 的频率闪烁。 开关控制电路。设73LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体功能表分析及组合得G 、A 与给定条件(S1、S0、CP )的真值表,如表1-3所示,真值表经过整理得逻辑表达式为 10 G S S =⊕ 10101010A S S S S CP S S S S CP =+=? 表3-3 4、设计汽车尾灯总体参考电路 由步骤3可得出汽车尾灯总体电路(参考),如图1-2所示

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

FPGA实验报告

数字电路与逻辑设计 用Verilog编程 用FPGA实现汽车尾灯控制系统 实验报告 学院:信息与通信工程学院 专业:电子信息工程 班级: 2015211103 组员:傅远昌 2015210077 张楷 2015210078

一.实验要求 根据汽车行驶状态自动控制汽车尾灯 1.直行:尾灯不亮 2.右转:右侧尾灯亮而且按秒闪烁,左侧尾灯不亮 3.左转:左侧尾灯亮而且按秒闪烁,右侧尾灯不亮 4.临时停车或故障:两侧尾灯同时闪烁 5.倒车显示 二.用FPGA实现方式设计 1.用三色LED代表左右汽车尾灯,为了便于区分,左尾灯选择靠左的三色LED且显示为蓝色,右尾灯选择靠右的三色LED且显示为红色。两灯同时闪烁表示停车或倒车。 2.用八个单色LED以流水灯的方式显示汽车的行驶状态,向前滚动表示汽车前行,向后滚动表示汽车到车,只有前四个LED 亮表示左转,只有后四个LED亮表示右转。 3.用四个拨码开关分别表示前行、左转、右转、故障(倒车),器输入组合1000表示前行,0100表示左转、0010表示右转、0001表示故障(倒车); 三.设计思路分析 1.使用不同的进程来分别处理时钟分频及各个状态下的灯光效果 2.用三色LED代表左右汽车尾灯

3.用拨码开关控制汽车行驶状态 4.用单色LED显示汽车行驶状态 5.使用状态机的思想来设计,通过过状态来决定灯光效果,通过外部输入来改变状态。 四.波形仿真 五.管脚分配 1.输入管脚分配: 2.输出管脚分配:

六.实验总结体会: (1)本次实验,我们采用模块化的设计方法,将整体分成不同功能的模块,如计时模块、分频模块、显示控制模块、LED灯显示模块,然后分模块编写程序(由小组人员分工完成),之后再将模块之间用变量连接起来,从而实现汽车尾灯显示要求。 (2)在实现本次设计的过程中,使我们了解了汽车尾灯的基本原理,从而让我们觉得,首次将我们的专业知识与生活联系起来,增强了我们对本课程的学习兴趣。 (3)在本次实验的实现过程中,通过调用case语句、always语句,是我们进一步加深了对case、always语句的认识,并且能够将其运用到其他设计中,使我们进一步熟练了这种设计方法和verilog程序设计语言。 七.实现代码

电子技术实训情况报告文档

电子技术实训情况报告文档Electronic technology training report document 编订:JinTai College

电子技术实训情况报告文档 小泰温馨提示:情况报告是指向上级机关反映某种临时性情况、事故的报告。实事求是、实情准确,分析有据、详略得当,是写好情况报告的关键。本文档根据情况报告内容要求展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意修改调整及打印。 电子技术实训报告 一、实训目的: 1、培养动手能力,在实践中加强对理论知识的理解。 2、掌握对电子元器件识别,相应工具的操作,相关仪器的使用,电子设备制作、装调的全过程的方法。 3、掌握查找及排除电子电路故障的常用方法。 4、学习使用protel电路设计软件,动手绘制电路图。 二、实训设备及仪器: 1、电烙铁:焊接的元件多,所以使用的是外热式电烙铁,功率为30 w,烙铁头是铜制。 2、螺丝刀、镊子等必备工具以及练习焊接时用的铜丝。

3、锡丝:由于锡熔点低,焊接时,焊锡能迅速散步在金属表面焊接牢固,焊点光亮美观。 4、松香,导线,剥线钳等其它需要用到的工具。 5、相关实验项目所需的电路板,电子元件等。 三、实训要求: 1、识别不同的电子元器件的规格和种类,熟练掌握焊接技术。 2、按照电路图设计合理安排元器件的位置,连接好电路,对接口进行焊接,完成对指定功能的测试。未达到测试要求的重新调试,直至排除故障。 四、实训内容: (2)汽车尾灯控制器 (3)数字时钟(4)组装收音机 (1)模拟声响器电路: (2)汽车尾灯控制器电路: (3)数字时钟电路: 五、实训结果:

数电课程设计报告 汽车尾灯控制电路设计

数字逻辑电路课程设计 一、设计要求 1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟); 2、汽车正常运行时指示灯全灭; 3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮; 4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮; 在临时刹车时,所有指示灯同时闪烁。 二、总方案设计 (1)列出尾灯与汽车运行状态表如下:

(2)设计总框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件(S i、s o、CP、Q o) 的关系,即逻辑功能表如下表所示: 由上表得出在总体框图如下

(3)设计单元电路 三进制计数器电路 由74LS161构成如下所示: = 10riF 译码电路 由3-8先译码器74LS138和6个与非门构成。74LS138的三个输入端 A 2、A i 、A 。分别接Q i 、Q °,而Q i 、Q °是三进制计数器的输出端。 当S i =0、使能信号A=G=1,计数器的状态为00, 01, 10时;74LS138 对应的输出端Y 。,Y i ,丫2依次为0有效(Y 3,丫4,丫5信号为“1” 无效),即反相器G i ~G 3的输出端也依次为0,故指示灯D i ^D 2T D 3 按顺序点亮,示意汽车右转弯。若上述条件不变,而S i =i ,则74LSi8 对应的输出端丫4、 丫5、丫6依次为0有效,既反相器G 4~G 6 的输出端为0,故指示灯按D 4F5TD 6顺序点亮,示意汽车左转弯。 VCC SY wkn 555 VIRTUAL R11 R 1 5 V C

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

汽车尾灯控制电路

汽车尾灯控制电路 设计者:

汽车尾灯控制电路 内容摘要 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 一、设计内容及要求 本课题设计一个汽车尾灯的控制电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯同时闪烁。 当接通检查电键时,汽车所有的尾灯点亮。 二、电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 三、系统方案的选择 在设计本电路时,一共考虑过三种方案。这三种方案的不同点在于产

生001、010、100三种信号的方法不同。下面简单的介绍一下这三种方案: 第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。0 设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。 则 经过 ) ()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。 电路图如下: 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 第二种方案:通过74LS194移位寄存器来产生001、010、100的三种

电子技术课程设计汽车尾灯

课程设计报告设计题目:汽车尾灯控制电路的设计与实现 班级:计算机 学号: 姓名: 指导教师: 设计时间: 摘要 进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。 本课题设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。 关键词:计数器,译码器,555定时器,逻辑门等 目录 摘要 (2) 第1章概述 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5)

2.2 设计要求 (5) 第3章系统设计 (7) 3.1 方案论证 (7) 3.2 系统设计 (7) 3.2.1 结构框图及说明 (7) 3.2.2 系统原理图及工作原理 (8) 3.3 单元电路设计 (9) 3.3.1 单元电路工作原理 (9) 3.3.2 元件参数选择 (12) 第4章软件仿真 (13) 4.1 仿真电路图 (13) 4.2 仿真过程 (13) 4.3 仿真结果 (14) 第5章安装调试 (20) 5.1 安装调试过程 (20) 5.2 故障分析 (20) 第6章结论 (21) 第7章使用仪器设备清单 (21) 参考文献 (21) 收获、体会和建议 (22) 第1章概述 随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。而对于汽车行驶安全的要求就显得尤为重要,通过科技的力量来改进汽车的性能已经成为主要的方向。立足于《电子技术》这门课程的知识体系,力求通过本学科的一些知识对汽车的尾灯显示电路进行模拟和做出一些分析改进。希望通过这次设计实践,达到两个目的,锻炼自己的动手实践能力,以及用已学的知识对汽车尾灯控制电路进行详尽的分析与模拟。 对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对

汽车尾灯控制电路设计及仿真报告

汽车尾灯控制电路设计及仿真报告 小组成员: 题目要求: 3.55 小汽车左、右两侧各有3只尾灯,当汽车左转弯时,左侧的尾灯按下表所示方式周期性亮灭;右转弯时,右侧尾灯也按此规律变化;当汽车制动停车时,6只尾灯同时亮;若在转弯前制动,则表示转弯的尾灯正常动作,另一侧的尾灯全亮。试设计上述功能的小汽车尾灯控制电路。 Present State Next State A B C A B C 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 0 0 0 0 0 0 1 1 1 *1表示灯亮,0表示灯灭。 功能分析: (Ⅰ) 根据题目要求,设计电路的输入端有三个,为TL、TR、ST, 分别代表左转弯、右转弯及制动(约定三个输入为1时,代表发出上述信号)。输出端有六个,A L、B L、C L代表左侧3个尾灯,A R、B R、C R代表右侧3个尾灯(约定1表示灯亮,0表示灯灭),经观察发现,两侧A、C尾灯在任何状态下亮灭状态同步,所以这两个灯可以用一个输出信号控制。 (Ⅱ) 车尾灯的状态可以根据输入信号分为两类。 一是转向信号和制动信号只有其中之一作用(由实际情况可知,左转弯,右转弯信号不可同时发出,即TL、TR、ST三者只有一个为1,其余为0),此类型对应的实际情况包含三种,分别为左转弯,右转弯和刹车制动。当只发出一侧的转弯信号时,该侧车尾灯呈现如题目要求的循环亮灭;当只发出制动信号时,两侧车尾灯全部点亮。 二是转向信号和制动信号两者同时起作用,此类型对应的实际情况包含两种,分别为直行和转弯(前)制动。当转向信号和制动信号都没有发出时,即TL、TR、ST均为0时,所有尾灯均熄灭。当转向信号其一和制动信号同时发出时,发出转向信号的一侧车尾灯呈现如题目要求的循环亮灭,另一侧车尾灯全部点亮。 关于转弯前制动,题目中并没有明确说明此时的输入信号是怎样的情况,经小组讨论,我们一致决定,转弯前制动代表转向信号其一和制动信号同时发出。 根据上述功能分析,设计电路的功能表如下表所示。 类别输入信号输出信号

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

《汽车尾灯控制电路设计与仿真》毕业设计荐--开题报告.doc

《汽车尾灯控制电路设计与仿真》毕业设计 开题报告 学生姓名曾xx 专业班级汽电01 课题名称汽车尾灯的控制电路设计与仿真指导教师唐xx 本课题要解决的主要问题,解决途径和主要方法: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案 6论文定稿,答辩 毕业设计进度计划: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案 6完成论文初稿 7论文定稿,答辩 指导教师意见 年月日教研室意见

年月日 湖南机电职业技术学院毕业设计指导教师评价表论文题目汽车尾灯控制电路设计与仿真 专业汽车电子技术班级汽电01 学生 姓名曾祥云 检查 次数评分标准分值得分总分 开题 检查设计文献、资料、工具等准备完成及时 50 完成开题报告完成及时审查合格 50 第二次 检查按时作息,设计认真主动 20 设计进度基本符合预定计划 20 总体设计方案基本可行, 20 完成草图绘制和方案框架文本 40 第三次 检查基本完成除说明书外的毕业设计任务 40 设计方案正确、资料规范,作品基本完成 20 40 第四次 检查完成所有毕业设计任务 40 毕业设计方案正确、资料完整、修改及时。 40

毕业设计文档按照规范要求完成定稿,装订、打印符合规范要求 20 合计(第一次×20%+第二次×20%+第三次×30%+第四次×30%) 教师评语 注:表中考核标准仅供参考,各专业可根据自己的特点设定具体考核标准。 2017-03- 学生姓名曾xx 专业班级汽电01 课题名称汽车尾灯的控制电路设计与仿真指导教师唐xx 本课题要解决的主要问题,解决途径和主要方法: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案 6论文定稿,答辩 毕业设计进度计划: 1、根据任务书选题调研,做出开题报告 2、查找设计原理 3、做初步方案 4、确定初步方案 5、修改方案

汽车尾灯控制器设计——完整版

1、Proteus简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PC B设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、H C11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间; 支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 可输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。

1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真; 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C调试器等; 生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动; 高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析; 1.2.3 独特的单片机协同仿真功能(VSM) 支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU类型随着版本升级还在继续增加,如即将支持CORTEX、DSP处理器; 支持通用外设模型:如字符LCD模块、图形LCD模块、LED点阵、LED七段显示模块、键盘/按键、直流/步进/伺服电机、RS232虚拟终端、电子温度计等等,其COMPIM(COM口物理接口模型)还可以使仿真电路通过PC机串口和外部电路实现双向异步串行通信; 实时仿真:支持UART/USART/EUSARTs仿真、中断仿真、SPI/I2C仿真、MSSP 仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真; 编译及调试:支持单片机汇编语言的编辑/编译/源码级仿真,内带8051、AV

相关文档
最新文档