数字电子技术第8章存储器与可编程逻辑器件习题及答案

数字电子技术第8章存储器与可编程逻辑器件习题及答案
数字电子技术第8章存储器与可编程逻辑器件习题及答案

第8章

存储器与可编程逻辑器件存储器概述

自测练习

1.存储器中可以保存的最小数据单位是()。

2.(a)位(b)字节(c)字

3.指出下列存储器各有多少个基本存储单元多少存储单元多少字字长多少

(a) 2K×8位()()()()

(b) 256×2位()()()()

(c) 1M×4位()()()()3. ROM是()存储器。

(a)非易失性(b)易失性

(c)读/写(d)以字节组织的

4.数据通过()存储在存储器中。

(a)读操作(b)启动操作

(c)写操作(d)寻址操作

5.RAM给定地址中存储的数据在()情况下会丢失。

(a)电源关闭(b)数据从该地址读出

(c)在该地址写入数据(d)答案(a)和(c)

6.具有256个地址的存储器有()地址线。

(a)256条(b)6条(c)8条(d)16条7.可以存储256字节数据的存储容量是()。

(a)256×1位(b)256×8位

(c)1K×4位(d)2K×1位

答案:

1. a

2.(a) 2048×8;2048;2048;8

(b) 512;256;256;2

(c) 1024×1024×4;1024×1024;1024×1024;4

3.a

4.c

5.d

6.c

7.b

随机存取存储器(RAM)

自测练习

1.动态存储器(DRAM)存储单元是利用()存储信息的,静态存储器(SRAM)

存储单元是利用()存储信息的。

2.为了不丢失信息,DRAM必须定期进行()操作。

3.半导体存储器按读、写功能可分成()和()两大类。

4.RAM电路通常由()、()和()三部分组成。

5.6116RAM有()根地址线,()根数据线,其存储容量为()位。

答案:

1.栅极电容,触发器

2.刷新

3.只读存储器,读/写存储器

4.地址译码,存储矩阵,读/写控制电路

5.11,8,2K×8位

只读存储器(ROM)

自测练习

1.ROM可分为()、()、()和()几种类型。

2.ROM只读存储器的电路结构中包含()、()和()共三个组成部分。

3.若将存储器的地址输入作为(),将数据输出作为(),则存储器可实现组合逻辑电路的功能。

4.掩膜ROM可实现的逻辑函数表达式形式是()。

5.28256 型EEPROM有()根地址线,()根数据线,其存储容量为()位,是以字节数据存储信息的。

6.EPROM是利用()擦除数据的,EEPROM是利用()擦除数据的。

7. PROM/EPROM/EEPROM 分别代表()。

8.一个PROM/EPROM能写入()(许多,一)次程序。

9.存储器2732A是一个()(EPROM,RAM)。

10.在微机中,4种存储类型为()。

答案:

1.ROM,PROM,EPROM,EEPROM

2.存储矩阵,地址译码,输出控制电路

3.输入,输出

4.标准与或形式(最小项表达式)

5.15,8,32K×8

6.紫外线,电

7.可编程的只读存储器,可擦可编程的只读存储器,电可擦可编程的只读存储器8.一次/许多

9.EPROM

10.寄存器,高速缓存,主存,外存

快闪存储器(Flash Memory)

自测练习

1.非易失性存储器有()。

2.(a)ROM和RAM (b)ROM和闪存(c)闪存和RAM

3.Flash Memory的基本存储单元电路由()构成,它是利用()保存信息,具有()性的特点。

4.Flash Memory 28F256有()和()两种操作方式。

5.从功能上看,闪存是()存储器,从基本工作原理上看,闪存是()存储器。

6.Flash28F256有()根地址线,()根数据线,其存储容量为7.()位,编程操作是按字节编程的。

答案:

1.b

2.一个浮栅MOS管,浮栅上的电荷,非易失

3.只读存储方式,读/写存储方式 4.RAM ,ROM

5.15,8,32K ×8

存储器的扩展

自测练习

1. 存储器的扩展有( )和( )两种方法。

2. 如果用2K ×16位的存储器构成16K ×32位的存储器,需要( )片。 (a ) 4 (b ) 8 (c ) 16

3. 用4片256×4位的存储器可构成容量为( )位的存储器。

4. 若将4片6116 RAM 扩展成容量为4K ×16位的存储器,需要( )根地址线。 5. (a ) 10 (b ) 11 (c ) 12 (d )13

6. 将多片1K ×4位的存储器扩展成8K ×4位的存储器是进行( )扩展;若扩展

成1K ×16位的存储器是进行( )扩展。 7. 4256?的存储器有( )根数据线,( )根地址线,若该存储器的起始地

址为00H,则最高地址为( ),欲将该存储器扩展为81?K 的存储系统,需要4256?的存储器( )个。

答案:

1.字扩展,位扩展 2.C

3.256×16/1K ×4 4.C

5.字,位

6.4,8,FF ,8

可编程阵列逻辑PAL

自测练习

1. PAL 的常用输出结构有( )、( )、( )和 ( )4种。 2. 字母PAL 代表( )。

3. PAL 与PROM 、EPROM 之间的区别是( )。 4. (a )PAL 的与阵列可充分利用

5. (b )PAL 可实现组合和时序逻辑电路

6.(c)PROM和EPROM可实现任何形式的组合逻辑电路

7.具有一个可编程的与阵列和一个固定的或阵列的PLD为()。

8.(a)PROM (b)PLA (c)PAL

9.一个三态缓冲器的三种输出状态为()。

10.(a)高电平、低电平、接地(b)高电平、低电平、高阻态

11.(c)高电平、低电平、中间状态

12.查阅资料,确定下面各PAL器件的输入端个数、输出端个数及输出类型。

13.(a)PAL12H6 ()()()

(b)PAL20P8 ()()()

(c)PAL16L8 ()()()

答案:

1.输出结构,可编程输入/输出结构,寄存器输出结构,异或输出结构

2.可编程阵列逻辑

3.B

4.C

5.B

6.(a)12,6,高电平

(b)20,8,可编程极性输出

(c)16,8,低电平

通用阵列逻辑GAL

自测练习

1.GAL具有()

(a)一个可编程的与阵列、一个固定的或阵列和可编程输出逻辑

(b)一个固定的与阵列和一个可编程的或阵列

(c)一次性可编程与或阵列

(d)可编程的与或阵列

2.GAL16V8具有()种工作模式。

3.GAL16V8在简单模式工作下有()种不同的OLMC配置;在寄存器模式工作下有()种不同的OLMC配置;在复杂模式工作下有()种不同的OLMC配置。

4.GAL16V8具有()。

(a)16个专用输入和8个输出

(b)8个专用输入和8个输出

(c)8个专用输入和8个输入/输出

(d)10个专用输入和8个输出

5.如果一个GAL16V8需要10个输入,那么,其输出端的个数最多是()。

(a)8个(b)6个(c)4个

6.若用GAL16V8的一个输出端来实现组合逻辑函数,那么此函数可以是()与项之和的表达式。

(a)16个(b)8个(c)10个

7.与、或、非、异或逻辑运算的ABEL表示法分别为()。

=++用ABEL语言描述时,应写为()。8.逻辑表达式F AB AB AB

答案:

1.A

2.3

3.3,2,2

4.B专用输入,专用组合输出,复合输入/输出(I/O),寄存器组合I/O,寄存器输出5.C

6.8

7.B

8.&,#,!,$

9.A&B#A&!B#!A&B

CPLD、FPGA和在系统编程技术简介

自测练习

1.PLD器件的设计一般可分为()、()和( )三个步骤以及( )、 ( ) 和( ) 三个设计验证过程.

2.ISP表示()。

(a)在系统编程的

(b)集成系统编程的

(c)集成硅片程序编制器

3.CPLD表示()。

(a)简单可编程逻辑阵列(b)可编程交互连接阵列

(c)复杂可编程逻辑阵列(d)现场可编程逻辑阵列

4.FPGA是()。

(a)快速可编程门阵列(b)现场可编程门阵列

(c)文档可编程门阵列(d)复杂可编程门阵列

5.FPGA是采用()技术实现互连的。

(a)熔丝(b)CMOS

(c)EECMOS (d)SRAM

6.PLD的开发需要有()的支持。

(a)硬件和相应的开发软件

(b)硬件和专用的编程语言

(c)开发软件

(d)专用的编程语言

答案:

1.设计输入,设计实现,编程,功能仿真,时序仿真,测试

2.a

3.c

4.b

5.d

6.a

习题

8.1存储器有哪些分类各有何特点

8.2ROM和RAM的主要区别是什么它们各适用于哪些场合

8.3静态存储器SRAM和动态存储器DRAM在电路结构和读写操作上有何不同

8.4Flash Memory有何特点和用途它和其它存储器比较有什么不同

8.5某台计算机系统的内存储器设置有20位的地址线,16位的并行输入/输出端,试计算它的最大存储容量

8.6试用4片2114(1024×4位的RAM)和3-8译码器组成4096×4位的存储器8.7试用4片2114RAM连接成2K×8位的存储器。

8.8PROM实现的组合逻辑函数如图P8.8所示。

(1)分析电路功能,说明当ABC取何值时,函数F1=F2=1;

(2)当ABC取何值时,函数F1=F2=0。

图P8.8

8.9 用PROM 实现全加器,画出阵列图,确定PROM 的容量。 8.10 用PROM 实现下列多输出函数,画出阵列图。

8.11 F 1=D C B +C B A +C B A +BD A +ABD 8.12 F 2=D B +D B A +D C A +D B A +D C B A 8.13 F 3=D C B A +D C A +D C AB +CD B A +C B A 8.14 F 4=ACD +D B +BD 8.15 PAL 器件的结构有什么特点 8.16 描述PAL 与PROM 、EPROM 之间的区别。 8.17 任何一个组合逻辑电路都可以用一个PAL 来实现吗为什么 8.18 选用适当的PAL 器件设计一个3位二进制可逆计数器。当X=0时,实现加法计

数;当X=1时,实现减法计数。

8.19 为什么GAL 能取代大多数的PAL 器件

8.20 试用GAL16V8实现一个8421码十进制计数器。

习题解答:

8.1存储器有哪些分类各有何特点(基本题,第1、2、3、4节) 答:半导体存储器可分类为:ROM 、RAM 和Flash 存储器。

ROM 属于非易失性存储器,断电后所存数据不丢失。ROM 又可分为:掩膜ROM 、PROM 、EPROM 和EEPROM 。掩模ROM 和PROM 是一次性编程的,EPROM 和EEPROM 是可以重复编程的。掩模ROM 、PROM 和EPROM 在正常工作时,所存数据是固定不变的,只能读出,不能写入。只有EEPROM 在正常工作时所存数据是可以读出,也可以写入。

RAM 也称为读/写存储器,是易失性存储器,断电后所存数据全部丢失。在正常工作时可以随时读出,也可以随时写入,因而使用灵活,读写方便。RAM 分静态(SRAM )和动态(DRAM )存储器,它们的不同的特点是:DRAM 需要刷新电路保存数据,而SRAM 不需要。

Flash 闪存是理想的大容量、非易失性和可读可写的存储器,且存储速度较快,读写方便。所存数据在没有电源的情况下可以无限定地保存下来。

8.2 ROM和RAM的主要区别是什么它们各适用于哪些场合(基本题,第1、2、3节)答:ROM和RAM的主要区别是:ROM属于非易失性存储器,断电后所存数据不丢失;而RAM是易失性存储器,断电后所存数据全部丢失。

ROM通常用来存放不需要经常修改的程序或数据,如计算机系统中的BIOS程序、系统监控程序、显示器字符发生器中的点阵代码等。

静态RAM存储电路由于MOS管较多,集成度不高,但不需要刷新电路,外部控制逻辑电路简单,且存取速度比动态RAM快,因而通常用作微型计算机系统中的高速缓存(Cache)。

动态RAM与静态RAM相比,其基本存储单元所用的MOS管少,存储密度高、功耗低。但存取速度比静态RAM慢,需要定时刷新。但由于DRAM的高存储密度、低功耗及价格便宜等突出优点,使之非常适用于在需要大容量的系统中用作主存储器。现代计算机均采用各种类型的DRAM作为可读写主存。

8.3静态存储器SRAM和动态存储器DRAM在电路结构和读写操作上有何不同(基本题,第、2、3节)

答: SRAM和DRAM在电路结构上的不同是:DRAM电路中有刷新电路,而SRAM没有。这是因为DRAM电路是利用栅极电容保存信息的,而电容存在漏电效应,为保证信息不因漏电丢失,所以必须定期对电路进行刷新。

SRAM和DRAM的读/写操作由CS片选信号、WE读/写信号(和OE输出允许信号)控制。当CS=0时,RAM为正常工作状态,若WE=1,则执行读操作,存储单元里的数据将送到输入/输出端上;若WE=0,则执行写操作,加到输入/输出端上的数据将写入存储单元;当CS=1时,RAM的输入/输出端呈高阻状态,即不能对RAM进行读/写操作。所不同的是对于动态存储器DRAM的每一次的读/写操作实质上是对单管动态存储电路信息的一次恢复或增强。

8.4 说明Flash Memory有何特点和用途。它和其它存储器比较有什么不同(基本题,第4节)

答:Flash Memory是一种具有较高存储容量、较低价格、可在线擦除与编程的新一代读写存储器,从基本工作原理上看,闪存属于ROM型存储器,但由于它又可以随时改写其中的信息,所以从功能上看,它又相当于随机存储器RAM。从这个意义上说,传统的ROM 与RAM的界限和区别在闪存上已不明显。它的这些独特性能使其广泛应用于包括嵌入式系统、仪器仪表、汽车器件以及数码影音产品中。

Flash Memory和其它存储器比较其不同点可通过下表体现:

8.5 某台计算机系统的内存储器设置有20位的地址线,16位的并行输入/输出端,试计算它的最大存储容量(基本题,第1节)

答:它的最大存储容量为:220×16位=1M×16位

8.6 试用4片2114(1024×4位的RAM)和3-8译码器组成4096×4位的存储器。

解:将4片2114扩展成4096×4位的存储器,只须字扩展,位不变,地址线为12个,其中低10位作为2114的地址输入。由于译码器要求采用3-8译码器,故译码器的地址输入端只有两位A10A11,高位设置为0,另外的3个控制信号应如图所示。(综合题,第5节)

8.7 试用4片2114 RAM连接成2K×8位的存储器。(综合题,第5节)解:将4片2114扩展成2K×8位的存储器,字位均需扩展,即先进行位扩展,再进行

字扩展。位扩展时,将4片2114分成2组,每组2片,2片2114的地址线、W R/、CS 均连在一起,数据输入/输出线并行作为输入/输出线;再将2组进行字扩展,扩展时,地址线的低10位与2组的地址线相连,高位地址接其中一组的片选,再经一非门接另一组的片选,所有的2114的W R/接在一起,2组的数据输入/输出线对应连在一起作为扩展后的数据输入/输出线。

8.8 PROM 实现的组合逻辑函数如图P8.8所示。(综合题,第3节) 分析:(1)说明当ABC 取何值时,函数F 1=F 2=1; (2) 当ABC 取何值时,函数F 1=F 2=0。

解:根据PROM 的点阵图可写出输出函数:

F 1= m 0+m 1+m 3+m 5

图P8.8

F 2= m 3+m 5 +m 6+m 7

可知(1)当ABC=011或ABC=101时,F 1=F 2=1 (2)当ABC=010或ABC=100时,F 1=F 2=0

8.9 用PROM 实现全加器,画出阵列图,确定PROM 的容量。(综合题,第1、3节) 解:列全加器真值表如下

根据真值表可得输出函数 S i = m 1+m 2+m 4+m 7

C i+1= m 3+m 5+m 6+m 7

其点阵图如下,PROM 的容量为8×2位。

8.10 用PROM 实现下列多输出函数,画出阵列图。(综合题,第3节) F 1=D C B +C B A +C B A +BD A +ABD F 2=D B +D B A +D C A +D B A +D C B A F 3=D C B A +D C A +D C AB +CD B A +C B A F 4= ACD +D B +BD

解:由于PROM 实现的逻辑函数的形式为最小项形式,首先将输出函数转化成最小项形式,即有:

F 1=D C B +C B A +C B A +BD A +ABD=∑m (0,2,3,7,10,11,14,15) F 2=D B +D B A +D C A +D B A +D C B A =∑m (0,2,4,6,9,10,11,12,14) F 3=D C B A +D C A +D C AB +CD B A +C B A =∑m (1,5,10,11,12,) F 4=ACD +D B +BD =∑m (0,2,5,7,8,10,11,13,15)

故可选用16×4位的PROM,如图习题8.10点阵图所示。

习题8.10点阵图

8.11 PAL器件的结构有什么特点(基本题,第6节)

答:PAL器件的结构由可编程的与阵列、固定的或阵列和可编程的输出逻辑电路三部分组成。其输出逻辑可分为多种输出及反馈电路,因而构成了各种型号的PAL器件。根据PAL器件的输出结构和反馈电路的不同,可将它们大致分成专用输出结构、可编程输入/输出结构、寄存器输出结构、异或输出结构等几种类型。在实际应用中,可根据具体的要求不同,选用不同的输出结构的PAL器件。

8.12 描述PAL与PROM、EPROM之间的区别。(综合题,第3、6节)

答:区别是PROM和EPROM由固定的与阵列和可编程的或阵列构成,而PAL是由可编程的与阵列、固定的或阵列和可编程输出逻辑电路三部分组成,因此PROM和EPROM只能实现组合逻辑电路,而PAL由于有可编程的输出逻辑电路,不仅可以实现组合逻辑电路,而且可以实现时序逻辑电路。

8.13 任何一个组合逻辑电路都可以用一个PAL来实现吗为什么(基本题,第6节)答:不可以,一个PAL的输入变量是一定的,所以PAL的应用受输入变量的限制。

8.14 选用适当的PAL器件设计一个3位二进制可逆计数器。当X=0时,实现加法计数;当X=1时,实现减法计数。(综合题,第6节)

解:3位二进制可逆计数器是一个时序逻辑电路,且有3个输出,故选用PAL16R4较合适。根据要求,3位二进制可逆计数器的状态表如下:

由状态表可得次态方程:

Q 2 n+1=012012020122Q Q Q X +Q Q Q X +Q XQ +Q Q Q +1Q Q X Q 1

n+1=012010101Q Q Q X +Q Q X +Q Q X +Q Q X

Q 0 n+1

=0Q

由于PAL16R4的输出端设置为反相三态缓冲器,故次态方程应取反,则有: D 2=2Q n+1= 0112010101Q XQ +Q XQ +Q Q X +Q Q X +Q Q X

D 1=1

Q n+1

=0120120201212Q Q Q X +Q Q XQ +Q Q X +Q Q Q +Q Q X

D 0=0Q n+1

=Q 0

其电路图如习题8.14电路图所示。

8.15 为什么GAL 能取代大多数的PAL 器件(基本题,第7节)

答: 这是因为GAL 的输出结构配置了输出逻辑宏单元OLMC (Output Logic Macro Cell ),用户可以通过编程选择输出结构,它既可以编程为组合逻辑电路输出,又可以编程为寄存器输出;既可以输出低电平有效,又可以输出高电平有效等等。这样GAL 器件就可以在功能上通过编程代替PAL 的各种输出结构。

8.16 试用GAL16V8实现一个8421码十进制计数器。(综合题,第7节)

解:8421码十进制计数器的状态表如下所示:

根据状态表可得次态方程:

Q 3n+1

=012013Q Q Q +Q Q Q

Q 2 n+1=01201212Q Q Q +Q Q Q +Q Q Q 1

n+1=01301Q Q Q +Q Q

Q 0 n+1

=0Q

进位输出函数: C=Q 3Q 0

考虑计数器的实用性,增加了清零、送数功能,修改后的状态方程为:

Q 3n+1

=(012013Q Q Q +Q Q Q )CLR +LD 3

Q 2 n+1=(01201212Q Q Q +Q Q Q +Q Q )CLR +LD 2 Q 1 n+1=(01301Q Q Q +Q Q )CLR +LD 1 Q 0

n+1

=0Q CLR +LD 0

进位输出函数: C=Q 3Q 0 CLR

上式中,CLR 为清零信号,LD 为置数信号。 适用于FM 软件规范的用户源文件如下:

GAL16V8

DECIMAL COUNTER WU AND SHE COUNT CLK CLR LD3 LD2 LD1 LD0 NC NC NC GND OE NC NC NC Q0 Q1 Q2 Q3 C VCC ;EQUATIONS Q3:=Q3*/Q1*/Q0*/CLR+Q2*Q1*Q0*/CLR+LD3 Q2:=Q2*/Q1*/CLR+/Q2*Q1*Q0*/CLR +Q2*Q1*/Q0+LD2 Q1:=Q1*/Q0*/CLR+/Q3*/Q1*Q0+LD1 Q0:=/Q0*/CLR+LD0 C :=Q3* Q0*/CLR C .OE=VCC DESRIPTION

实现8421码十进制计数器的引脚配置如习题8.16引脚配置所示。其具体的实现过程,请参考相应的GAL 应用资料。

习题8.16引脚配置

习题8.14 电路图

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.360docs.net/doc/e53034322.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

数字电子技术模拟试题4套

模拟试题一 一、选择填空(每空1分,共20分) 1.纯净的半导体叫()。掺入3价杂质元素形成的半导体叫(),它主要靠导电()。 A.空穴B.本征半导体C.P型半导体D.自由电子 2.PN结正偏时,多子的()运动较强,PN结变薄,结电阻较()。 A.扩散B.漂移C.小D.大 3.三极管有()和()两种载流子参与导电,故称作()极型晶体管;而场效应管称作()极型晶体管。 A.双极B.空穴C.单极D.自由电子 4.负反馈放大电路的含义是()。 A.输出与输入之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路之外还有信号通路 D.电路中存在使输入信号削弱的反向传输信号 5.一个放大电路的对数频率特性的水平部分为40dB,当信号频率恰好是上限频率时,实际电压增益为()。 A.43dB B.40dB C.37dB D.3dB 6.通常在下面基本组态放大电路中,输入电阻最大的是();输出电阻最小的是();高频特性最好的电路是()。 A.共射电路B.共集电路C.共基电路D.共源电路 7.集成放大电路采用直接耦合方式的原因是()。 A.便于设计B.放大交流信号C.不易制作大容量电容 8.功率放大电路互补输出级采用共集形式是为了使()。 A.电压放大倍数大B.不失真输出电压大C.带负载能力强 9.欲得到电流-电压转换电路,应在放大电路中引入();欲将电压信号转换成与之成比例的电流信号,应在放大电路中引入()。A.电压串联负反馈B.电压并联负反馈C.电流串联负反馈D.电流并联负反馈 10.为了避免50Hz电网电压的干扰进入放大器,应选用()滤波电路。 A.带阻B.带通C.低通D.有源 11.直流稳压电源的基本组成有变压器、整流、()、稳压。 A.比较B.滤波C.调整 二、判断正误(每题2分,共10分) 1.因为N型半导体的多子是自由电子,所以它带负电。() 2.电路只要满足,就一定会产生正弦波振荡。() 3.放大电路必须加上合适的直流电源才能正常工作。() 4.若放大电路的放大倍数为负,则引入的反馈一定是负反馈。() 5.功率放大电路的最大输出功率是指在基本不失真情况下,负载上可能获得的最大交流功率。() 三、简答题 1.设图3-1中二极管、为理想二极管,判断它们是导通还是截止?输出电压= ?(4分) 2.测得放大电路中晶体管的直流电位如图3-2所示。在圆圈中画出管子,并说明是硅管还是锗管。 四、(6分)根据图4某共射单放电路中三极管的输出特性曲线及交、直流负载线,试求:(1)静态Q点;(2)三极管电流放大系数β;(3)集电极电阻;(4)最大不失真输出电压幅度。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

相关文档
最新文档