模拟集成电路实验指导书

模拟集成电路实验指导书
模拟集成电路实验指导书

模拟集成电路分析与设计

实验指导书

彭 勇 编

江南大学信息工程学院微电子系

Hspice 使用简介

(1) 准备网表(*.sp )文件,确定网表所在路径。

例如RC 电路网表如下: .title RC

R1 1 2 90 C1 2 0 2.5u R2 2 0 30

Vs 1 0 PWL(0 0 10ns 10v 2ms 10v) .tran 5u 1m

.plot tran v(2) v(1) .end

(2) 打开Hspui ,打开网表,进行simulate 。打开Hspui 界面上Edit LL ,出现

*.lis 文件,文件若有Job aborted ,则表示网表中有错误,需要找error 位置并返回网表修改错误,直到*.lis 文件出现Job concluded ,表示运行成功。

? 打开hspui ,open simulate 。

? 成功运行后的*.lis 文件,显示job concluded 。 ***** job concluded (20050128) 22:33:06 02/13/2007 pcnt

****** HSPICE W-2005.03 ******

rc

****** job statistics summary tnom= 25.000 temp= 25.000 ******

total memory used 21 kbytes jfets = 0 # mosfets = 0 analysis time # points tot. iter conv.iter op point 0.00 1 3

51 rev= 0 0.16 seconds 3)点击Avanwaves 波形浏览器,弹出result browser 对话框,如图(1)

,双 # nodes = 3 # elements= 4

# diodes= 0 # bjts = 0 #

transient 0.12 201 102 readin 0.01 errchk 0.00 setup 0.00 output 0.01 total cpu time

(击第二行transient :rc,在该对话框下方Types 和Curves 对话框中出现如图(2)所示内容。在Curves 对话框中双击v (2),出现节点2的波形图,如图(3)。

图(1)

图(2)

图(3)(4)运行过程需要和产生文件介绍

文件描述文件后缀

输入网表.sp

输出列表.lis

瞬态分析结果(图形数据).tr#

直流分析结果(图形数据).sw#

交流分析结果(图形数据).ac# 注:#表示扫描数,若网表中无sweep语句,则为0。

CMOS 模拟集成电路实验

实验一 Hspice 实践及MOS 器件特性分析

一、实验目的

1、熟悉电路仿真工具HSPICE 的基本语法及其使用方法。

2、会使用HSPICE 编写程序对简单RCL 电路及双极型电路进行仿真。

3、结合MOS 器件的工作原理,会使用HSPICE 编写程序查看MOS 器件的各种特性曲线。 二、实验原理

在电学上MOS 管作为一种电压控制的开关器件。当栅-源电压V 等于开启电压V T 时,该器件开始导通。当源漏间加一电压V 以及V =V T 时,由于源-漏电压和栅-衬底电压而分别产生的电场水平和垂直分量的作用,沿着沟道就出现了导电。源-漏电压(即V >0)所产生的电场水平分量起着使电子沿沟道向漏极运动的作用。随着源-漏电压的增加,沿沟道电阻的压降会改变沟道的形状。在沟道源端,栅极电压在使沟道反型过程中全部有效;然而在沟道漏端,只有栅极和漏极间的电压差才是有效的。当有效栅电压(V gs ds gs ds gs

T V )比漏极电

压大时,随着V 的增加,沟道变得更宽,这时沟道电流I 既是栅极电压也是漏极电压的函数,习惯上称这个区域为“线性”区,或“电阻”区,或“非饱和”区。如果V 大于V gs ds ds gs

V T ;即当V

再伸展到漏极,处于夹断状态。在这种情况下,导电是由于在漏极电压作用下

gd T gd

电子的漂移机理所引起的。在电子离开沟道后,电子注入到漏区耗尽层中,接着向漏区加速。沟道夹断处的电压降不变,保持在V

V,这种情况为“饱

gs T

和”状态。这时沟道电流受栅极电压控制,几乎与漏极电压无关。应注意耗尽层中没有可动的载流子,因而能够将沟道与衬底的其与部分隔离起来。实际上,由于沟道与衬底形成一个反偏PN结,所以流向衬底的电流很小。

在源-漏电压和栅极电压固定的情况下,影响源极流向漏极(对于给定的衬

底电阻率)的漏极电流I大小的因素有:

ds

(1)源,漏之间的距离;

(2)沟道宽度;

(3)开启电压V

T

(4)栅绝缘氧化层的厚度;

(5)栅绝缘层的介电常数;

(6)载流子(电子或空穴)的迁移率μ。

一个MOS管的正常导电特性可分为以下几个区域:

(1)“夹断”区:这时的电流是源—漏间的泄漏电流;

(2)“线性”区:弱反型区,这时漏极电流随栅压线性增加;

(3)“饱和”区:沟道强反型,漏极电流与漏极电压无关。

当漏极电压太高时,会发生称为雪崩击穿或穿通的非正常导电情况。在这两种情况中,栅极电压已不能对漏极电流进行控制。

描述NMOS器件在三个区域中性能的理想表达式为:

I =ds ???????

????<<<<≤饱和区

线性区截止区)(0)(2

)(0]2

)[()(0

22

ds

c V V V V V K b V V V V V V V K a V V o ds

T gs T gs N

T gs ds ds T gs N T gs

其中,I 是漏极电流;V 是栅-源电压;V T 是器件的开启电压;K 是

NMOS 晶体管的跨导系数,K 与工艺参数及器件的几何尺寸有关,其关系为

ds gs N N K N =

(

)(L

W K L

W

I OX

n =εμ 这里,n μ表示沟道中电子的有效表面迁移率;ε是栅绝缘层的介电常数;t OX 是栅绝缘层的厚度;W 是沟道宽度;L 是沟道长度。因此跨导系数K 包括了一个与工艺有关的本征导电因子项(K =N N

OX

n t ε

μ),一个几何尺寸有关项

(L

W

)。工艺有关项考虑了所有的工艺参数,如掺杂浓度,栅氧化层的厚度等;

而几何尺寸有关项则与器件的实际版图有关。 三、实验内容

1、在固定V 下,使用Hspice 仿真并验证NMOS 的GS V

I

特性曲线。仿真时,使用

Star-HSPICE Level 53 模型,该文件名为'sm046005-1e.hspice'。 电路网表为:

.title NMOS OUTPUT CHARRACTERITICS

mn0 vout vin 0 0 nmos_3p3 w=2u l=1u .LIB 'sm046005-1e.hspice' typical v1 vin 0 1.5 v2 vout 0 1 .dc v2 0 3.3 0.1

.probe i(mn0) .end

仿真波形如下:

自行编写Hspice 文件并按照要求仿真电路。 2、在上题中改变NMOS 的L W 为μ

μ

35.02,重新仿真电路,比较漏极电流I 的变化。

D

3、在不同V 下仿真NMOS 的GS V

I 特性曲线。V 从0V 变化到5V ,每隔0.5V 取一个点,查看并验证该GS V

I

特性曲线。

4、在温度为0C-800C 的范围内线性取下5个点,仿真NMOS 的0V

I 特性曲线,

并比较它们的差异以及I 随温度的变化趋势以及变化量。 D 5、在固定V 下,仿真NMOS 管的转移特性曲线。 DS 6、对于CMOS 反相器电路,PMOS 的L W

为μ

μ

6.04,NMOS 的L

W

为μ

μ

6.02,

vdd 为3.3V 。假设输入波形为pwl (0 0 5μ 5),仿真得出输出波形。

7、对上述反相器电路,将输入波形改为sin(2.36 0.01 1meg),仿真得出输

出波形。

8、对上述反相器电路,在10Hz-100MHz之间进行交流小信号扫描,得出该反

相器的幅频特性。(提示:.probe ac vdb(vout)vp(vout))。

四、实验报告

1、分别写出实验内容2-8题的Hspice仿真网表,并给出相应要求的仿真波形图。

2、分析结果。

实验二单级放大器的Hspice仿真

一、实验目的

1.进一步熟悉Hspice语法,掌握电路的直流、交流、瞬息分析方法。

2.复习共源、共栅、共源共栅级的电路结构,直流和交流特性。并使用Hspice仿真电路的特性。

二、实验内容

1.电阻负载共源放大器电路如图1所示,使用Hspice仿真得出该电路的(1)

输入输出特性曲线;(2)直流工作点;(3)交流小信号幅频特性曲线。

图1 电阻负载共源放大器电路

(1)输入输出特性曲线

网表如下:

.title CS(R-load)_vi&vo

mno vout vin 0 0 nmos_3p3 w=1u l=0.35u

RR0 vdd vout 10k

.LIB 'sm046005-1e.hspice' typical

v1 vin 0 1.2

. dc v1 0 3.3 0.1

.op

.end

仿真波形如下:

(2) 直流工作点

网表如下:

.title CS(R-load)_dc

Mn0 vout vin 0 0 nmos_3p3 w=1u l=0.35u RR0 vdd vout 10k

.LIB 'sm046005-1e.hspice' typical

vdd vdd 0 5

v2 vout 0 1

.dc v2 0 5 0.1 sweep v1 0 5 0.5 .op

.probe i(mn0) i(RR0)

.end

仿真波形如下:

(3)交流小信号幅频特性曲线

网表如下

.title CS(R-load)_ac

Mn0 vout vin 0 0 nmos_3p3 w=1u l=0.35u RR0 vdd vout 10k

.LIB 'sm046005-1e.hspice' typical

vdd vdd 0 3.3

v1 vin 0 1.2 ac 1 0

.ac dec 10 10 1G

.op

.probe ac vdb(vout) vp(vout)

.end

仿真波形如下:

2.将上题无源负载电阻改为100k,分别仿真该电路的(1)输入输出特性曲线;

(2)直流工作点;(3)交流小信号幅频特性曲线。

3.以NMOS二极管连接方式为负载的共源放大器电路如图2所示。使用Hspice

仿真得出该电路的(1)输入输出特性曲线;(2)直流工作点;(3)交流小信号幅频特性曲线。

Vout

1u/0.35u

图2 以NMOS 二极管连接方式为负载的共源放大器电路

4. 以PMOS 二极管连接方式为负载的共源放大器电路如图3所示。使用Hspice 仿真得出该电路的(1)输入输出特性曲线;(2)直流工作点;(3)交流小信号幅频特性曲线。

PMOS 二极管连接方式为负载的共源放大器电路 5. 电流源负载的共源放大器电路如图4所示。使用Hspice 仿真得出该电路的(1)

图3 以

输入输出特性曲线;(2)直流工作点;(3)交流小信号幅频特性曲线。

1u/0.35u

Vout

6. 共栅放大器该电路的(1)输入输出特

共栅放大器电路

(1)输入输出特vo

b vin 0 nmos_3p3 w=4u l=0.6u

图4 电流源负载的共源放大器电路

电路如图5所示.使用Hspice 仿真得出性曲;(2)交流小信号幅频特性曲线;(3)输入阻抗;(4)输出阻抗。注意直流点的设置,以保证晶体管都工作在饱和区。

图5 性曲线 网表如下: .title CG_vi&mn1 vout v

2u/0.35u

Vout

R=8k

Vb=2v

.LIB 'sm046005-1e.hspice' typical 0.1

vdd vdd 0 3.3 vb vb 0 2 v1 vin 0 1

.dc v1 0 5 .op .end

仿真波形如下:

(2)交流小信号幅频特性曲线 vb vin 0 nmos_3p3 w=4u l=0.6u

网表如下: .title CG_ac

mn1 vout

.LIB 'sm046005-1e.hspice' typical ac 1 0 e ac vdb(vout) vp(vout) 仿真波形如下:

vdd vdd 0 3.3 vb vb 0 2

v1 vin 0 1 .ac dec 10 10 1G .op

.prob

.end

(3) 输入阻抗

/i(vl)=9.11k 。 输入电阻r i =vin (4)输出阻抗

计算输出阻抗时,将输入电压源短路,即令(v1 vin 0 0 ac 0 ),再在 vb vin 0 nmos_3p3 w=4u l=0.6u ical c 0 e ac vdb(vout) vp(vout)

7.6所示。使用Hspice 仿真得出该电路的(1)输入输出端接一个电压源v0,即加入语句(v2 vout 0 2 ac 1 ),由此计算R o =vout/i(v2)=3.4k Ω。

网表如下: .title CG_ro

mn1 vout rro vdd vout 50k .LIB 'sm046005-1e.hspice' typ vdd vdd 0 3.3 vb vb 0 1

v1 vin 0 0 a v2 vout 0 2 ac 1 .ac dec 10 10 1G .op

.prob .end 共源共栅放大器电路如图输出特性曲及节点X 与输入电压的关系曲线;(2)交流小信号幅频特性曲线;(3)输入阻抗;(4)输出阻抗。注意直流点的设置,以保证晶体管都工作在饱和区。

8. 折叠式级联放大器电路如图7所示。使用Hspice 仿真得出该电路的(1)输入输出特性曲;(2)M1,M2电流和输入电压的关系曲线(3)交流小信号幅频特性曲线;(4)输出阻抗。注意直流点的设置,以保证晶体管都工作在饱和区。

三、实验报告

1、完成实验内容中,7-8的网表,并给出相应的仿真波形。 题。

图6 共源共栅放大器电路

=3.3v =10k

2u/0.35u

=2v

:2u/0.35u

=5v

=30k

4u/0.6u

=1v

4u/0.6u =100uA

图7 折叠式级联放大器电路

2-5 2、完成思考

模拟电路实验指导书

目录 实验一整流、滤波、稳压电路 (1) 实验二单级交流放大器(一) (5) 实验三单级交流放大器(二) (7) 实验四两级阻容耦合放大电路 (9) 实验五负反馈放大电路 (11) 实验六射极输出器的测试 (14) 实验七 OCL功率放大电路 (16) 实验八差动放大器 (18) 实验九运算放大器的基本运算电路(一) (20) 实验十集成运算放大器的基本运算电路(二) (22) 实验十一比较器、方波—三角波发生器 (24) 实验十二集成555电路的应用实验 (26) 实验十三 RC正弦波振荡器 (30) 实验十四集成功率放大器 (32) 实验十五函数信号发生器(综合性实验) (34) 实验十六积分与微分电路(设计性实验) (36) 实验十七有源滤波器(设计性实验) (38) 实验十八电压/频率转换电路(设计性实验) (40) 实验十九电流/电压转换电路(设计性实验) (41)

实验一整流、滤波、稳压电路 一、实验目的 1、比较半波整流与桥式整流的特点。 2、了解稳压电路的组成和稳压作用。 3、熟悉集成三端可调稳压器的使用。 二、实验设备 1、实验箱(台) 2、示波器 3、数字万用表 三、预习要求 1、二极管半波整流和全波整流的工作原理及整流输出波形。 2、整流电路分别接电容、稳压管及稳压电路时的工作原理及输出波形。 3、熟悉三端集成稳压器的工作原理。 四、实验内容与步骤 首先校准示波器。 1、半波整流与桥式整流: ●分别按图1-1和图1-2接线。 ●在输入端接入交流14V电压,调节使I O=50mA时,用数字万用表测出V O,同时用 示波器的DC档观察输出波形记入表1-1中。 图1-1

集成电路实训报告

集成电路课程设计 目录 1 .引言 (1) 1.1 课题目的与意义 (1) 1.2 设计题目与要求 (1) 1.3 Tanner软件的介绍 (2) 2反相器设计 (2) 2.1 S-edit设计反相器 (2) 2.2反相器的瞬时分析 (3) 2.3反相器直流分析 (4) 3 L-edit画PMOS和NMOS布局图 (5) 3.1 L-edit的使用 (5) 3.2 使用L-Edit画PMOS布局图 (5) 3.3 使用L-Edit画NMOS布局图 (6) 3.4 使用L-Edit画基板节点元件 (7) 3.5 L-edit画反相器布局并作瞬时和直流分析 (7) 3.6使用LVS对比反相器 (8) 3.7关于功耗和延迟方面的计算 (9) 4.仿真注意事项 (11) 5 总结 (12) 参考文献 (13)

1 .引言 集成电路产业是信息产业的核心,在全球集成电路产业重心转移的背景下,中国集成电路产业取得了前所唯有的发展,为信息产业向纵深发展奠定了一定的基础。在全球集成电路竞争中,中国国产集成电路仍然处于较弱的地位,一方面供给无法满足中国电子整机产品的需求,另一方面则是自主创新能力不足。同时,也应看到中国集成电路产业发展的希望与契机,作为全球集成电路产业增长最快的地区和全球最具发展潜力的市场,伴随市场需求的扩张、产业规模的升级、技术水准的提高,该看到中国集成电路产业发展的希望。作为全球第三大集成电路市场中国占了20%的份额,而且产业发展速度和市场潜力在全球首屈一指。如今,由于我国集成电路产业还处于发展初期,富有经验的中高层工程,技术人才、设计人才及企业管理运营人才缺口很大。我国集成电路产业对专业设计、制造、营销、管理人才的需求量是25万一30万人,但目前国内这方面的人才数量远远不够。人才短缺,将成为制约我国集成电路产业快速发展的另一个瓶颈。然而,这也是作为一位学生,也是我们的机会,是我们为国家的集成电路信息安全做贡献的机会。让我们国家的集成电路不受外国掣肘。 1.1 课题目的与意义 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用集成电路设计软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。掌握微电子技术人员所需要的基本理论和技能,日后从事集成电路设计工作打下基础。 通过此课程设计使学生对集成电路设计有了初步的认识认识并熟练使用集成电路相关软件,熟练集成电路设计的技能及规则等方面有重要意义。 1.2 设计题目与要求 1设计时使用的工艺及设计规则:MOSIS:mhp-s5; 2根据所用的工艺,选取合理的模型库; 3选用以lambda(λ)为单位的设计规则; 4全手工、层次化设计版图; 5达到指导书提出的设计指标要求。

集成电路实验 王向展

电子科技大学 实验报告 二、实验项目名称:CMOS模拟集成电路设计与仿真 三、实验地点:211大楼606房间 四、实验学时:4 五、实验目的: (1)综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 (2)学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证 六、实验原理: IC设计一般规则: ①根据用途要求,确定系统总体方案 ②根据电路的指标和工作条件,确定电路结构与类型,然后通过模拟计算, 决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 ③根据电路特点选择适当的工艺,再按电路中各器件的参数要求,确定满足 这些参数的工艺参数、工艺流程和工艺条件。 ④按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一 定的规则布置在硅片上,绘制出相互套合的版图,以供制作各次光刻掩模版用。 ⑤生成PG带制作掩模版 ⑥工艺流片 ⑦测试,划片封装

实验模拟基于Cadence 平台的电路设计与仿真 七、实验内容: 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、设计一个运算放大器电路,要求其增益大于60dB, 相位裕度大于45o, 功耗小于10mW。 3、根据设计指标要求,选取、确定适合的电路结构,并进行计算分析。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans 分析、建立时间小信号特性和压摆率大信号分析,能熟练掌握各种分析的参数设置方法。 5、电路性能的优化与器件参数调试,要求达到预定的技术指标。 6、整理仿真数据与曲线图表,撰写并提交实验报告。 八、实验仪器与器材 (1)工作站或微机终端一台 (2)EDA仿真软件 1套 九、实验结果: 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握Cadence EDA仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的电路结构。并进行计算分析,确定其中各器件的参数。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans分析,能熟练掌握各种分 ①增益与频率之间的关系、相位裕度与频率之间关系图如下所示: ②输入、输出关系曲线 十、实验结果计算与分析: 从幅频特性曲线图像中可以读出,电路的增益A V=59dB略小于设计所要求的60dB;找出增益接近于0时候的截止频率为102.4MHz,对应到下方相频特性曲线图像中为-130o,则相位裕度为180o-130o=50o,

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

电路与模拟电子技术实验指导书夏玉勤

电路与模拟电子技术实验指导书夏玉 勤

一、注意事项: 1、进入实验室( 一教813) 必须穿鞋套, 否则不允许进入实验室。 2、进入实验室后遵守实验室的规章制度。 3、该课程共有7个实验。在做实验之前必须做好预习工作, 需要用multisim仿真的, 在做实验之前应该完成。明确实验目的, 切实 地掌握理论知识和实验原理, 尽量做到带着问题做实验。 4、进入实验室学生要细心连接电路, 通电前须仔细检查电路的电源电压和接地情况, 检查无误后通电。出现问题时要冷静的分析并查找原因。对实验过程中出现的现象、电路调整的过程以及测量结果要认真、客观的记录。做实验的过程中是2人一组, 2人互相配合完成实验, 发现不积极主动做实验的, 本次实验成绩为0。 5、实验时注意观察, 若发现有破环性现象( 如元器件发烫、异 味、冒烟) , 应立即关断电源, 保持现场, 并报告指导老师, 找出原因, 排除故障, 经指导老师同意后再继续实验。 5、实验完成后要让指导教师检查实验结果, 正确后方可拆除电路。 6、实验结束后, 撰写实验报告( 电子版) , 整理实验数据, 分析数据, 加深对理论知识和实验原理的理解, 增强利用理论知识, 解决设计 问题的能力。 7、有2个或2个以上的实验没有完成或未交实验报告, 该课程的实验成绩为不及格。 二、实验课时分配( 18学时)

实验一: 电路基本元件伏安特性的测试 一、实验目的 1.学会直流稳压电源( 固定和可调) 、电流表和电压表的使用方法。 2.了解实际电压源、电流源和电阻的外特性。 3.学会伏安特性的逐点测试法。 二、实验原理 略 三、实验内容

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

《集成电路测试》 实验指导书

《集成电路测试》实验指导书 南通大学集成电路重点实验室 2009年6月

实验一 测试图形生成及验证 一、实验目的 熟悉对被测电路给定故障生成测试图形的过程,掌握异或法和D 算法的具体运用。 二、实验原理 参考教材P74 4.2.1 异或法, P82 4.4 D 算法 三、实验内容 a b c d (1) 用异或法对5/0故障生成测试图形; (2) 用D 算法对6/0故障生成测试图形; (3) 对以上所产生的测试图形进行验证;(在Quartus II 中进行验证) 四、实验报告 写出测试图形生成的具体过程,给出整个实验的原理图和运行结果,分析实验结果的正确性。 f

实验二伪随机序列生成 一、实验目的 了解随机测试和伪随机测试的基本概念;掌握LFSR的基本结构和M序列的基本特性。 二、实验原理 基于故障的确定性测试方法是指用专门的算法对给定的故障生成测试图形,优点是生成的测试图形长度短,但生成过程比较复杂,测试施加比较困难。由微处理器的测试软件算法或者专用的测试电路可容易生成随机的或伪随机的测试图形,并具有较高的故障覆盖率,因此在集成电路测试中得以广泛应用。 如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。因此可以说,伪随机序列是具有某种随机特性的确定的序列。它们是由移位寄存器产生确定序列,然而他们却具有某种随机序列的随机特性。因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。 伪随机序列的电路为一个反馈移位寄存器,它可分为线性反馈移位寄存器(简称LFSR 计数器)和非线性反馈移位寄存器,由线性反馈移位寄存器(LFSR)产生的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器序列,通常简称为M序列。 关于LFSR构造及M序列的相关特性,请参阅教材p205-212的相关内容。 三、实验内容 下图为4位完全LFSR结构图,试分析其自动生成的序列。(在Quartus II中运行、分析) 四、实验报告 对伪随机序列,LFSR,M序列等相关概念进行综述。对上图进行分析。

2011.12.30(修改)电路与模拟电子技术实验指导书

电路与模拟电子技术 实验指导书 王凤歌 (修改于2011.12.30) 1

实验一直流网络定理 一、实验目的 1、加深对基尔霍夫和迭加原理的内容和适用范围的理解。 2、用实验方法验证戴维南定理的正确性。 3、学习线性含源一端口网络等效电路参数的测量方法。 4、验证功率输出最大条件。 二、实验属性(验证性) 三、实验仪器设备及器材 1、电工实验装置(DG011T、DY031T、DG053T) 2、电阻箱 四、实验要求 1. 所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为准。 2. 防止电源两端碰线短路。 3. 若用指针式电流表进行测量时,要识别电流插头所接电流表时的“ +、-”极性。倘若不换接极性,则电表指针可能反偏(电流为负值时),此时必须调换电流表极性,重新测量,此时指针可正偏,但读得的电流值必须冠以负号。 4.用电流插头测量各支路电流时,应注意仪表的极性,及数据表格中“ +、-”号的记录。 五、实验原理 1、基尔霍夫定律是集总电路的基本定律。它包括电流定律和电压定律。 基尔霍夫电流定律:在集总电路中,任何时刻,对任一节点,所有支路电流的代数和恒等于零。即 ∑I = 0 基尔霍夫电压定律:在集总电路中,任何时刻,沿任一回路内所有支路或元件电压的代数和恒等于零。即 ∑U = 0 2、迭加原理是线性电路的一个重要定理。 独立电源称为激励,由它引起的支路电压、电流称为响应,则迭加原理可简述为:在任意线性网络中,多个激励同时作用时,总的响应等于每个激励单独作用时引起的响应之和。 3、戴维南定理指出,任何一个线性含源一端口网络,对外部电路而言,总可以用一个理想电压源和电阻相串联的有源支路来代替,如图1-1所示,其理想电压源的电压等于原网络端口的开路电压U OC,其电阻等于原网络中所有独立电源为零值时的入端等效电阻R0。 图1-1 2

模拟电子技术实验指导书(经典)

《模拟电子技术》 实验指导书 班级: 姓名: 学号: 指导老师: 2017年1月制

实验一电子仪器仪表的使用 一、实验目的 1、学习使用直流稳压电源,低频信号发生器,毫伏表,示波器等仪器的正确操作方法。 2、了解以上各仪器的工作范围及性能。 二、实验设备 1、低频信号发生器1台 2、毫伏表1台 3、示波器1台 4、万用表1块 三、实验原理及内容 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有:示波器、低频信号发生器、直流稳压电源、晶体管毫伏表、数字式(或指针式)万用表等,如图所示 1、实验电路测量 2、仪器仪表的工作范围 3、低频信号发生器,为电路提供各种频率和幅度的输入信号; 4、毫伏表用于测量电路的输入、输出信号的有效值; 5、示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等; 6、万用表(指针式):用于测量电路的静态工作点和直流信号的值。 四、实验步骤 1、打开实验仪器的电源开关让仪器预热。 2、实验箱右侧有电压为12V、-12V、5V~27V等值。并用万用表合适的直流电压量程测量校对以上各电压值。测量并记录。 3、调节XD22A低频信号发生器的“频率范围”旋钮,使f=1KHz。调节“输出衰减”“输出调节”旋钮,使低频信号发生器指示电压为3V(有效值),并用毫伏表中合适的量程测量在不同“输出衰减”对应的低频信号发生器实际输出电压值。 XD22A低频信号发生器的“输出衰减”;量程以“dB”量表示。旋钮置于“0”dB时,输出电压为表头指示值,无衰减。换算过程如下: dB=20|lgA|,A为衰减倍数,如,“输出衰减”旋钮置于0dB时,A=100=1,此时表头的任何指示值都乘以1,表示输出没有衰减,输出电压为表头指示值;又如:“输出衰减”旋钮置于10dB时A=100..5=0.333倍,此时表头的任何指示值都乘以0.33,便是输出电压有效值。

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

参考答案模拟电子技术实验指导书

实验一常用电子仪器的使用 一、实验目的 1?熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2?学习使用低频信号发生器和频率计。 3?初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图 1 —1所示。接线时应注意,为防止外 界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 交流奄伏表直流稳压电源 图1—1模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。 通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值u m、周期T (或频率f) 和初相;脉冲信号的波形参数是幅值4、周期T和脉宽T P。幅值U、峰峰值U P-P和有效值都可表示正弦量 U m、 1 的大小,但用示波器测U P-P较方便(用万用表交流电压档测得的是正弦量的有效值U斗)。由于频率f=丄, V2 T 所以测出周期T,即可算得频率。矩形脉冲电压,可用周期T,脉宽T P和幅值Un三个参数来描述。T P与T 之比称为占空比。 三、实验内容和步骤 1 .检查示波器

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

实验2指导书 组合逻辑电路的设计

组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路设计的一般概念和方法。 2.掌握集成组合逻辑电路的使用和设计方法。 3.学习EDA软件Quartus II的基本使用方法。 二、实验预习 阅读《电工电子实验教程》第6.3节中组合逻辑电路的内容。 打印实验指导书,预习实验的内容。 查阅相关芯片的数据手册,了解芯片的逻辑功能、引脚排列及外形结构,完成实验电路设计,画出原理电路,标明芯片型号和引脚。自拟实验步骤和数据表格。 三、实验设备与仪器 数字电路实验箱。 四、实验原理 使用中规模的集成电路设计组合逻辑电路的一般方法为: 第一步:从题目中完成逻辑抽象。把实际问题转换为可行的逻辑设计要求。 第二步:根据逻辑设计的要求建立输入、输出变量,并列出真值表。 第三步:用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。不一定要最简形式,应以所要使用的中规模集成芯片的逻辑功能为依据,把要产生的逻辑函数变换为与器件的逻辑函数式类似的形式。对于变换后的逻辑函数式与所选器件的逻辑函数式差别非常大的应考虑更换元器件类型。 第四步:根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。 第五步:用实验来验证设计的正确性。 设计组合逻辑电路的一般步骤如图1所示。 图1 组合逻辑电路设计流程图

五、实验内容 题目A:4人表决电路 设计一个4人表决电路,多数通过(即当四个输入端中有三个或四个为“1”时,输出端才能为“1”),用发光二极管显示表决结果,通过点亮,否决不亮。(要求选用与非门电路实现,74LS10和/或74LS20) 题目B:大月指示器电路 设计一个大月(该月份天数为31)指示器,四个二进制输入变量表示月份,发光二极管表示输出,若该月份月份为大月,则发光二极管亮,其它情况发光二极管不亮(注意任意项的处理,要求使用74LS00和74LS151)。 六、实验要求 从实验内容所列的题目中选择一个题目进行设计,使用中规模集成电路芯片完成设计,具体方案不限。要求确保电路可以完成题目功能,并使用尽可能少的器件。 列出真值表,写出逻辑表达式并根据设计要求进行化简(化简形式根据采用的器件逻辑功能自行决定),全部用门电路实现。 在数字实验系统中完成实际操作,利用实验箱上已连接好的开关电路获得所需的逻辑电平输入,LED指示灯电路完成结果显示。 自行设计测试表格,完成实际电路的测试。 实验室可提供的芯片有:74LS00、74LS10、74LS20、74LS151。 七、实验报告(本部分请附加空白页手写完成) 在实验报告中写出完整的设计思路和设计过程,越详细报告分数起评点越高,内容应包括建立逻辑变量、列真值表、逻辑化简、逻辑表达式变换、电路图设计等。 用要求的器件设计出电路,画出电路图。 列出元器件清单。 写出实验结果及分析。 写出实验体会总结。 有能力的同学可画出仿真电路图和仿真结果。

2020年(电子行业企业管理)模拟电子实验指导书(用)

实验一常用电子仪器的使用及电子元器件的识别与检测 一﹑实验目的 1、熟悉模拟电子技术实验中常用电子仪器的功能,面板标识,及各旋扭,换档开关 的用途。 2、初步掌握用示波器观察正弦波信号波形和测量波形参数的方法,学会 操作要领及注意事项,正确使用仪器。 3、初步认识本学期实验用的全部器件,学习常用电子元器件的识别及用万用表检测和判 断它们的好坏与管脚,并测量其值。 4、了解元器件数值的标注方法(直标法﹑文字符号法﹑色标法),电路中元件数值的 标注方法及元件的标注﹑符号﹑单位和换算。 二、实验仪器 1、双踪示波器 2、多功能信号发生器 3、数字交流毫伏表 4、数字万用表 三、预习要求 1、认真阅读本实验指导书的附录一及附录二。 2、认识本实验的仪器,了解其功能。面板标识及换档开关与显示。 四、实验内容及步骤 实验电子仪器框图

输出信号 输出信号 交流 电压 号 图 1-1 (1) 实验内容 1. 常用电子仪器的使用: 1) 将信号发生器调至频率f = 1000Hz 电压V = 100mv 的正弦波电压输出。 2) 用数字毫伏表测量信号发生器是否为100mv(有效值)。 3) 用示波器通道1经测量探头输入。测量信号发生输出是否为正弦电压,其峰___ 峰值Vpp = 2×√2 ×100 = 282mv 。频率f=1000Hz (即周期T = 1/f = 100ms ) 注意:

a.使用时,将所有仪器接地端联接在一起,即“共地”,否则可能引起外界干扰,导致测量误差增大。 b.调节示波器旋扭,使图形亮度适中,线条清晰。 c.调节示波器同步旋扭,使图形大小适中,稳定。 4)改变信号发生器输出的正弦波频率与电压大小,在下面的三个频率和三个幅度 附近任选三个组合,重新观察,测量。记录下读数。 频率:500Hz ;2KHz ;100KHz ; 幅度:100mV ; 1.8V ;10V ; 记录表格: 2.各种常用电子元器件识别与检测: 1)电阻的测量。 用实际元件为例,进行色环电阻单位换算并用万用表测量电阻和电位器的阻值。作下记录。 2)电容的测量。 电容元件的分类﹑特点﹑主要参数与选用。以实际元件为例。进行电容单位换算练习用

模拟电子技术实验指导书

河海大学文天学院 电子技术实验指导书 模拟电子技术 王飞 2014.2

实验一 晶体管单管放大电路 一、实验目的 1.学习放大电路静态工作点调试方法,分析静态工作点对放大电路性能的影响。 2.学习放大电路电压放大倍数及最大不失真输出电压的测量方法。 3.测量放大电路输入、输出电阻。 4.进一步熟悉各种电子仪器的使用。 二、实验原理 图1-1为电阻分压式静态工作点稳定放大电路,它的偏置电路采用R B1 = R W1 + R 3和R B2 = R W2 + R 4组成的分压电路,并在发射级中接有电阻R E = R 6,用来稳定静态工作点。当在放大电路输入端输入信号U i 后,在放大电路输出端便可得到与U i 相位相反、被放大了的输出信号U 0,实现了电压放大。R 1和R 2组成输入信号的分压电路,其目的是防止输入信号过大,损坏三极管。 图1-1 在电路中静态工作点为: CC B B B B U R R R U 2 12 += E E E BE B E R U R U U I = -= )(E C C CC CE R R I U U +-= 动态参数: 电压放大倍数k 3.3//50==-== R R R R U U A C be L C i U γβ

其中) mA () mv (26) 1(300E be I r β++= 输入电阻:若开关合上,即R 7短接 be B B i r R R r ////21= 输出电阻:5R R r C o == 放大电路输入电阻测试方法:若输入信号源U S 经R 1 = 5.1k 与C 1串联后再接到三极管 V 1的基极,测得U S 和'i U ,即可计算出1' ' R U U U r i S i i ?-= 输出电阻可用下式计算:L R U U r )1(0 '00-= 其中' 0U 为R L 未接入时(R L = ∞)U 0之值,U 0为接入R L 时U 0之值。 1.静态工作点的测试 1)静态工作点的测量 放大电路的静态工作点是指在放大电路输入端不加输入信号U i 时,在电源电压V CC 作用下,三极管的基极电流I B ,集电极电流I C 以及集成极与发射极之间的电压U CE 等。测量静态工作点时,应使放大电路输入信号U i = 0,即将信号源输出旋钮旋至零(通常需将放大电路输入端与地短接)。然后测出I C ,或测出R E 两端电压,间接计算出I C 来,I B = I C / β, U BE , U CE 用数字式直流电压表进行测量,在测试中应注意: a) 测量电压U BE 、U CE 时,为防止引入干扰,应采用先测量B 、C 、E 对地的电位后进行计算,即: U BE = U B – U E U CE = U C – U E b) 为了测量I B 、I C 和I E ,为了方便起见,一般先直接测量出U E 后,再由计算得到: E E E C R U I I == β C B I I = 总之,为了测量静态工作点只需用直流电压表测出U C 、U B 、U E 即可推算出。 2)静态工作点的调试: 放大电路的基本任务是在不失真的前提下,对输入信号进行放大,故设置放大电路静态工作点的原则是:保证输出波形不失真并使放大电路具有较高的电压放大倍数。 改变电路参数U CC 、R C 、R B 都将引起静态工作点的变化,通常以调节上偏置电阻取得一合适的静态工作点,如图1-1中调节R W1。R B1减小将引起I C 增加,使工作点偏高,放大电路容易产生饱和失真,如图1-2-a 所示,U 0负半周被削顶。当R B1增加,则I C 减小,使工作点偏低,放大电路容易产生截止失真,如图1-2-b 所示。U 0正半周被缩顶。适当调节R b1可得到合适的静态工作点。

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

集成电路设计实验指导书

《集成电路设计技术》 实验指导书 编写人:方 元 电子电气工程系 2012年2月

前言 Verilog HDL就是在用途最广泛的C语言的基础上发展起来的一种硬件描述语言,它是由GDA(Gateway Design Automation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得Verilog HDL成为了该公司的独家专利。1990年CADENCE公司公开发表了Verilog HDL,并成立LVI组织以促进Verilog HDL 成为IEEE标准,即IEEE Standard 1364-1995。 本实验是在学生拥有集成电路设计概念以及数字电路理论学习的基础上,通过ModelSim EDA仿真工具,编写Verilog HDL语言。这有助于学生们对于课堂上所学习的Verilog HDL语言有一个深入的了解,并最终使得学生们能够学会该语言的基本语法,能够编写一些简单的模块。若今后从事集成电路设计工作,能够通过本次实验初窥门径,为今后的工作打下基础。 通过实验能够掌握Verilog HDL语言的基本语法,基本模块,为以后更加深入的学习数字集成电路设计打下基础。同时,让学生们使用最简单的HDL逻辑仿真工具ModelSim,对EDA工具的使用有一个基本概念,这样在学习其他EDA 工具时就不会那么生疏。 本实验通过4次实验,让同学们掌握Verilog模块化设计的理念,学会使用ModelSim软件,学会通过波形图查看电路的时序与逻辑是否正确。

参考答案--模拟电子技术实验指导书(2012)

参考答案--模拟电子技术实验指导书(2012)

实验一常用电子仪器的使用 一、实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1—1 模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器

模拟集成电路设计期末试卷..

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

数字集成电路实验指导书

《数字集成电路》实验指导书 何爱香 信息与电子工程学院 2013年1月

目录 实验1译码器 (3) 实验2组合逻辑电路..................................................................6实验3半加器...........................................................................8实验4全加器...........................................................................9实验5三进制计数器 (11) 实验6 555多谐振荡器 (13) 实验7电压比较器 (15) 实验8Pspice最坏情况分析 (16)

实验1 译码器 一、 实验目的 1. 理解译码器逻辑功能。 2. 掌握译码器电路设计方法。 二、 实验内容 译码器74155的芯片如下图所示, 逻辑函数式:B A Y += 三、 实验步骤 (1) 在pspice 中,启动Place/Part 命令,出现下图所示的选择框,输入 74155,点击OK 。 (2)控制端1C 设置为高电平,使能端G 1设置为低电平。 在pspice 中,高低电平要用专门的符号来设置,启动Place/Ground 命令,出现下图所示的选择框,在SOURE 库中取“$D_HI ”符号,即为接入高电平,取“$D_LO ”符号,接到电路的输入端,即为接入低电平。 (2) 设置输入信号AB 启动Place/Part 命令,出现下图所示的选择框,输入DigClock 。通过设置时钟信号源参数调整方波的周期可占空比。设置输入信号A 的ONTIME 和OFFTIME 为。设置输入信号B 的ONTIME 和OFFTIME 为1ms 时钟信号源有5个周期参数要设置:

相关文档
最新文档