动态输出4位十进制频率计的设计

动态输出4位十进制频率计的设计
动态输出4位十进制频率计的设计

武汉职业技术学院课程结业论文

论文题目:动态输出4位十进制频率计的设计

姓名:彭华平

所在院系:电子信息工程学院

班级:通信12303

学号:12011193

指导教师:虞沧

武汉职业技术学院

二〇一三年十二月

目录

一、概述 (2)

二、设计正文 (3)

(一)设计目的 (3)

(二)设计实现 (4)

1、端口说明 (4)

2、Cnt10模块说明 (5)

3、Tctl模块说明 (6)

4、锁存器reg16模块说明 (8)

5、scan_led模块说明 (9)

6、顶层文件仿真 (9)

7、硬件实现 (11)

三、总结 (13)

四、感言 (14)

五、参考献 (14)

摘要

此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计

一、目的

1. 学习掌握频率计的设计方法。

2. 掌握动态扫描输出电路的实现方法。

3. 学习较复杂的数字系统设计方法

二、设计实现

4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。

(1)端口说明

F1Hz:给Tctl模块提供1Hz的频率输入。

Fin:被测频率输入。

scan_led:给scan_led模块提供扫描输入频率输入。

bt[1..0]:片选信号输出。

sg[6..0]:译码信号输出。

cout:进位输出。

图一四位十进制频率计的外部接口

图二四位十进制频率计顶层文件

(2)cnt10模块说明

cnt10为含异步清零和同步时钟使能的十进制计数器,采用级联的方法进行计数,计数范围与所用cnt10级联个数有关,本次设计采用的是四个cnt10的级联,所以测频范围为0~9999Hz。

计数模块cnt10的源代码如下所示:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY cnt10 IS

PORT(rst,en,clk:IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

cout:OUT STD_LOGIC);

END cnt10;

ARCHITECTURE BEHAV OF cnt10 IS

SIGNAL cnt:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(rst,en,clk)

BEGIN

IF rst='1'THEN cnt<="0000";

ELSIF(clk'EVENT AND clk='1')AND en='1'THEN

IF cnt="1001"THEN

cnt<="0000";

cout<='1';

ELSE

cnt<=cnt+1;

cout<='0';

END IF;

END IF;

END PROCESS;

Q<=cnt;

END BEHAV;

(3)Tctl模块说明

根据频率的定义和测量的基本原理,测定信号的频率必须有一个脉

宽为1秒的对输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一测频计数周期做准备的计数器清0信号。这三个信号可以由一个测频控制信号发生器Tctl产生,其设计要求是Tctl的计数使能信号en能产生一个1秒脉宽的周期信号,并对频率计

的每一计数器cnt10的en使能进行同步控制、当en高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首

先需要一个锁存信号load的上升沿将计数器前1秒钟的计数值锁存进各锁存器reg16中,并由外部的译码器译出并显示计数值。锁存信号之后,必须有一清零信号rst对计数器进行清零,为下1秒钟的技术操作做准备。

控制模块Tctl源代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY Tctl IS

PORT ( clkk: IN STD_LOGIC;

en,rst,load: OUT STD_LOGIC);

END;

ARCHITECTURE behav OF Tctl IS

SIGNAL div2clk: STD_LOGIC;

BEGIN

PROCESS(clkk)

BEGIN

IF clkk'EVENT AND clkk='1'THEN div2clk <= NOT div2clk; END IF;

END PROCESS;

PROCESS (clkk, div2clk)

BEGIN

IF clkk='0' AND div2clk='0' THEN

rst<='1';

ELSE rst<= '0';

END IF;

END PROCESS;

load<= NOT div2clk;

en<=div2clk;

END behav;

Tctl工作时序波形图如图三所示:

图三频率计测频控制器Tctl侧可控时序图

图中clkk的频率为1Hz,en的高电平持续时间为1秒,此时计数器开始工作,当en低电平的时候停止计数,并将计数值经过load锁存的reg16中最后通过scan_led译码显示输入。en的计时长度为1秒,即en的周期为2秒。计数器在en高电平计数完成即en从高电平跳跃到低电平时将计数结果锁存的reg16中。rst在满足clkk和en 均为低电平的时候对已锁存入锁存器的计数信号进行清零工作,为下一个技术周期做准备。

(4)锁存器reg16模块说明

设置锁存器的目的是使显示的数据稳定,不会不会由于周期性的清零信号而不断闪烁。每次显示数据位上一计数周期锁存的计数值。

16锁存器源代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY reg16 IS

PORT ( load: IN STD_LOGIC;

din: IN STD_LOGIC_VECTOR(15 DOWNTO 0);

Dout: OUT STD_LOGIC_VECTOR(15 DOWNTO 0));

END ;

ARCHITECTURE behav OF reg16 IS

BEGIN

PROCESS(load,din)

BEGIN

IF load'EVENT AND load='1' THEN

Dout<=DIN;

END IF;

END PROCESS;

END behav;

(5)scan_led模块说明

动态显示是把所有的LED管的输入信号连在一起,这种连接方式有两个优点:一,节约器件的I/O端口;二,降低功耗。每次向LED写数据时,通过片选信号选通其中一个LED管并把数据写入,因此每一个时刻只有一个LED是亮的。为了能持续看到LED上面的显示类容,必须对LED管进行扫描,即一次循环点亮各个LED管。利用人眼的视觉暂留,加上发光器件的余辉效应,在一定的扫描频率下,人眼就会看到多个LED一起点亮。扫描的大小必须合适才能达到很好的效果。如果扫描频率太低,就会产生闪烁;而扫描频率太高会造成LED的频繁开启和关断,增加LED的功耗。通常扫描频率选择50Hz比较合适。

本次设计为四位LED动态扫描输出,clk为扫描扫描时钟,考虑到cnt8的分频作用,此次设计采用的频率为200Hz;sg[6..0]为当前正在显示的LED地址的已译码数据;bt[1..0]为输出的片选信号,决定某LED 在某时刻显示数据;Din[15..0]为4位一组的BCD码,共四位供显示输出的数据输入。Scan_led的内部以下三个进程:计数模块扫描时钟发生器、多路选通器模块和译码模块。

4位LED动态扫描输出scan_led源代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY scan_led IS

PORT (clk: IN STD_LOGIC;

Din: in STD_LOGIC_VECTOR(15 DOWNTO 0);

sg: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

bt: OUT STD_LOGIC_VECTOR(1 DOWNTO 0)); END;

ARCHITECTURE behav OF scan_led IS

SIGNAL cnt8: STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL q: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

p1: PROCESS(cnt8)

BEGIN

case cnt8 is

when "00" =>bt<="00";q<=Din(3 downto 0);

when "01" =>bt<="01";q<=Din(7 downto 4);

when "10" =>bt<="10";q<=Din(11 downto 8);

when "11" =>bt<="11";q<=Din(15 downto 12);

when others =>null;

end case;

END PROCESS p1;

p2: process(clk)

begin

if clk'event and clk='1' then cnt8<=cnt8+1;

end if;

end process p2;

p3: process(q)

begin

case q is

when "0000" =>sg<="0111111";

when "0001" =>sg<="0000110";

when "0010" =>sg<="1011011";

when "0011" =>sg<="1001111";

when "0100" =>sg<="1100110";

when "0101" =>sg<="1101101";

when "0110" =>sg<="1111101";

when "0111" =>sg<="0000111";

when "1000" =>sg<="1111111";

when "1001" =>sg<="1101111";

when others =>null;

end case;

END PROCESS p3;

END behav;

(6)顶层文件仿真

将各模块按照图二顶层文件连接编译通过后进行波形仿真。波形仿真如图四所示:

图四四位十进制频率计仿真波形图

F1Hz输入周期为1Hz;

Fin为测量频率,频率范围可谓100~9999Hz,此次波形仿真设计时间为1KHz;

clk为扫描时钟,周期为200Hz;

sg为输出LED七段显示译码结果,bt为输出片选信号,由波形仿真图克看出片选0(00)时LED显示为0,片选为1(01)时LED显示为0,片选为2(10)时LED显示为0,片选为3(11)时LED显示为1;即此时频率计计数频率为1000Hz,与实际输入频率相同。

(7)硬件下载实现

DE2没有提供动态输出方式,所以选择在WZ上实现。

F1Hz的1Hz输入频率通过DE2内部CLOCK_50的50M晶振分频来实现。输入频率信号和扫描频率也由50M晶振分频得到。又由于仿真设计中采用的是一个LED现实动态数据,所以下载到DE2板上是还要进行动态显示电路的设计。

分频的主要原程序为:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTITY count IS

PORT

( clk50: IN STD_LOGIC ;

q1khz: OUT STD_LOGIC);

END count;

ARCHITECTURE bhv OF count IS

BEGIN

HZ:PROCESS(clk50)

VARIABLE cout:INTEGER:=0;

BEGIN

IF clk50'EVENT AND clk50='1' THEN

cout:=cout+1;

IF cout<=24999 THEN q1khz<='0';

ELSIF cout<=49999 THEN q1khz<='1';

ELSE cout:=0;

END IF;

END IF;

END PROCESS;

END bhv;

(可以通过改变不同的cout值来进行不同的分频)

动态显示源程序为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity export is

port(q200hz:in std_logic;

bt:in std_logic_vector(1 downto 0);

sg:in std_logic_vector(6 downto 0);

led0,led1,led2,led3:out std_logic_vector(6 downto 0));

end;

architecture behav of export is

begin

process(q200hz)

begin

if q200hz'event and q200hz='1' then

case bt is

when "00" => led0<=sg(6 downto 0);

when "01" => led1<=sg(6 downto 0);

when "10" => led2<=sg(6 downto 0);

when "11" => led3<=sg(6 downto 0);

when others=>null;

end case;

end if;

end process;

end behav;

编译仿真完成后,定义输入/输出脚(cout输出定义为SW[1],七段数码管显示为HEX[0]、HEX[1]、HEX[2]、HEX[3],输入频率为clock_50),再次编译将定义引脚锁存到顶层文件后下载到DE2板上实现频率计的测试。设计下载过程中输入频率也可由DE2板上的50MHz、27MHz经过各种分频的到最后的输出计数频率,或者定义

EXT_CLOCK由外部时钟来定义输出也可以。但是在此设计中没有外接

电路,所以只能通过分频来得到相应的频率计数结果。

所以设计电路加上分频和显示电路后的顶层文件图如图五所示:

图五静态显示实际电路

三、总结

通过这次的EDA设计是我更加的了解VHDL语言的应用,尤其是在设计最后的静态输出的过程当中,由于书上设计的是由单个七段数码管进行动态显示,但是这种情况下不利于读取数据,所以应设计成由四个七段数码管静态输出结果的方式,但书上没有又没有源程序可供参考,自己在编写程序过程当中出现的错误提示以后在应用过程种应该细心,谨防出现细小的错误。尤其是实用语句的时候要考虑全面,不能再前面写过后忘记结尾,例如在使用多个if语句时要注意end if的个数与前相对应,不能少也不能多。在设计时还要考虑到设计引脚是否都能够在DE2板上找到,例如频率在DE2板上只有两个,如果需要其他频率的话就必须分频或者倍频。

四、感言

这次课程设计,我和姚冬同学合作完成了四位十进制频率计的设计,期间我们遇到了一些问题,我们通过查阅课本和其他资料、互相讨论和我们老师的热心指导,最终设计出电路图,又经过上机仿真,不断修改后完成设计。经过这次的课程设计我感觉到了合作的重要性同时发现了自己的问题,就是理论知识和实际操作没有很好的结合在一起,遇到问题不能及时的发现,平时只注意了理论知识而忽略了实际操作。经过这次课程设计以后希望我能在以后的工作中能培养自己的动手操作能力。

五、参考文献

1. 宋烈武,等. EDA计数实用教程. 湖北科学计数出版社,2006.

2. 顾斌. 数字电路EDA设计. 西安电子科技大学出版社,2004.

3. 李国洪,等. 可编程器件EDA设计与实践,机械工业出版社,200

4.

4. 刘艳萍,等. EDA实用技术及应用. 国防工业出版社,2006.

5. 潘松,等. EDA技术及其应用. 清华大学出版社,2007.

6. 王诚,等. Altera FPGA—CPLD设计(基础篇). 人民邮电出版社,2005.

7. 王振红,等. VHDL数字电路设计与应用实践教程(第2版). 机械工业出版社,2006.

谢谢虞沧老师指导!

2位十进制高精度数字频率计设计

广州大学学生实验报告 实验室:电子信息楼 317EDA 2017 年 10 月 2 日 学院机电学院年级、专 业、班 电信 151 姓名苏伟强学号1507400051 实验课 程名称 可编程逻辑器件及硬件描述语言实验成绩 实验项 目名称 实验4 2位十进制高精度数字频率计设计指导老师 秦剑 一实验目的 1 熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。 2 完成2位十进制频率计的设计,学会利用实验系统上的FPGA/CPLD验证较复杂设计项目的方法。 二实验原理 1 若某一信号在T秒时间里重复变化了N 次,则根据频率的定义可知该信号的频率fs 为:fs=N/T 通常测量时间T取1秒或它的十进制时间。 三实验设备 1 FPGA 实验箱,quarteus软件 四实验内容和结果 1 2位十进制计数器设计 1.1 设计原理图:新建quarteus工程,新建block diagram/schematic File文件,绘制原理图,命名为conter8,如图1,保存,编译,注意:ql[3..0]输出的低4位(十进制的个位), qh[3..0]输出的高4位(十进制的十位) 图片11.2 系统仿真:如图2建立波形图进行波形仿真,如图可以看到完全符合设计要求,当clk输入时钟信号时,clr有清零功能,当enb高电平时允许计数,低电平禁止计数,当低4位计数到9时向高4位进1 图2 1.3 生成元件符号:File->create/updata->create symbol file for current file,保存,命名为conter8,如图3为元件符号(block symbol file 文件): 图3 2 频率计主结构电路设计 2.1 绘制原理图:关闭原理的工程,新建工程,命名为ft_top,新建原理图文件,在project navigator的file 选项卡,右键file->add file to the project->libraries->project library name添加之前conters8工程的目录在该目录下,这样做的目的是因为我们会用到里面的conters8进行原理图绘制,绘制原理图,如图4,为了显示更多的过程信息,我们将74374的输出也作为output,重新绘制了原理图,图5 图4

四位数字频率计实验报告

数字逻辑电路大型实验报告 姓名 指导教师 专业班级 学院信息工程学院 提交日期

一、实验目的 学习用FPGA实现数字系统的方法 二、实验内容 1.FPGA, Quartus II 和VHDL使用练习 2.四位数字频率计的设计 三、四位数字频率计的设计 1.工作原理 当系统正常工作时,8Hz信号测频控制信号发生器进行信号的变换,产生计数信号,被测信号通过信号整形电路产生同频率的矩形波,送入计数模块,计数模块对输入的矩形波进行计数,将计数结果送入锁存器中,保证系统可以稳定显示数据,显示译码驱动电路将二进制表示的计数结果转换成相应的能够在七段数码显示管上可以显示的十进制结果。在数码显示管上可以看到计数结果。工作原理图如下: 2.设计方案

1) 整形电路:整形电路是将待测信号整形变成计数器所要求的脉冲信号 2)控制信号产生器(分频电路):用8Hz时钟信号产生1Hz时钟信号、锁存器信号和cs信号 3)计时器:采用级联的方式表示4位数 4)锁存器:计数结束后的结果在锁存信号控制下锁存 5)译码器:将锁存的计数结果转换为七段显示码 3.顶层原理图(总图)

注:①CLK1:8Hz时钟信号输入; CLKIN:待测信号输入; ②显像时自左而右分别是个位、十位、百位、千位; ③顶层原理图中: (1)consignal模块:为频率计的控制器,产生满足时序要求的三个控制信号; (2)cnt10模块:有四个,组成四位十进制(0000-1001)计数器,使计数器可以从0计数到9999; (3)lock模块:有四个,锁存计数结果; (4)decoder模块:有四个,将8421BCD码的锁存结果转换为七段显示码。 4.底层4个模块(控制信号产生模块,十进制计数器模块,锁存器模块,译码模块)的仿真结果。 cnt10模块(十进制计数器模块): 输入:CLK:待测量的频率信号(时钟信号模拟); CLR:清零信号,当clr=1时计数器清零,输出始终为0000,只有当clr=0时,计数器才正常计数 CS:闸门信号,当cs=1时接收clk计数,当cs=0时,不接收clk,输出为0; 输出: co:进位信号,图中,在1001(9)的上方产生一个进位信号0,其余为1。 qq:计数器的四位二进制编码输出,以十进制输出。

频率计

51单片机液晶显示数字频率计 摘要 在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。 频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。随着微电子技术和计算机技术的迅速发展,特别是单片机的出现和发展,使传统的电子侧量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化,形成一种完全突破传统概念的新一代侧量仪器。频率计广泛采用了高速集成电路和大规模集成电路,使仪器在小型化、耗电、可靠性等方面都发生了重大的变化。目前,市场上有各种多功能、高精度、高频率的数字频率计,但价格不菲。 为适应实际工作的需要,本次设计给出了一种较小规模和单片机(AT89S52)相结合的频率计的设计方案,不但切实可行,而且体积小、保密性强、设计简单、成本低、精度高、可测频带宽,大大降低了设计成本和实现复杂度。频率计的硬件电路是用Protel绘图软件绘制而成,软件部分的单片机控制程序,是以KeilC做为开发工具用C语言编写而成,而频率计的实现则是选用Ptotues仿真软件来进行模拟和测试。

目录 1 绪论 (1) 1.1 课题的引入 (1) 1.2 产生背景 (1) 1.3 国内外研究现状 (2) 1.4 单片机频率计设计的目的及意义 (3) 2 频率计总体方案设计 (4) 2.1 频率计设计原理 (4) 2.1.1 频率检测实现方法 (4) 2.1.2 频率计测频原理 (4) 2.2 设计思路及方法 (5) 3.2.1 频率计方案概述 (5) 3.2.2 频率计的量程自动切换 (6) 3 系统的硬件设计 (7) 3.3LCD1602显示电路 (8) 3.3.2 控制器接口及时序 (9) 3.3.3 频率计硬件电路整体设计 (10) 4 系统的软件程序介绍 4.1Keil C简介 (11) 4.2 频率计程序的建立过程 (17) 5程序 (18) 参考文献 (25)

数字频率计的设计

数字电子技术课程设计报告 设计课题: 数字频率计的设计 姓名: hcg 学院: 工学院 专业: 电气工程及其自动化 班级: 12级(2)班 学号: 12100513 日期 2014年06月1日—— 2014年06月30日 指导教师: 安徽农业大学

目录 1.设计的任务与要求 (3) 1.1设计的要求与参数 (3) 1.2设计的任务与时间安排 (3) 2.摘要 (4) 3.方案论证与选择 (5) 4.单元电路的设计和元器件的选择 (7) 4.1 多谐振荡器电路的设计 (7) 4.2 分频器电路的设计 (8) 4.3 单稳电路的设计 (8) 4.4放大整形电路的设计 (9) 4.5闸门电路的设计 (10) 4.6 计数器电路的设计 (10) 4.7 锁存器电路的设计 (11) 4.8 译码显示电路的设计 (12) 5.系统电路总图及原理 (14) 6.主要元器件的选择 (14) 7.经验体会 (15) 8.参考文献 (16)

设计题目:数字频率计 作者:hcg 指导老师: (安徽农业大学工学院合肥 230036 ) 1.设计任务与要求 1.1设计的要求与参数 数字频率计是用来测量正弦信号,矩形信号等波形工作频率的仪器,其测量结果直接用十进制数字显示。要求采用中小规模集成芯片设计制作一个数字频率测量仪。其基本设计参数如下:(1)被测信号的频率范围为1Hz~10KHz,分为两个频段,即1~999Hz, 1~10, KHz,用3位数码管显示测量数据,并用发光二极管表示单位,如绿灯亮表示Hz, 红灯亮表示KHz。 (2)具有自校和测量两种功能,可用仪器内部的标准脉冲校准测量精度。 (3)具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光信号报警。 (4)测量误差小于5%。 (5)多谐振荡器采用1M晶振电路,闸门用与门实现,显示用共阳极数码管。 1.2 设计的任务与时间安排 (1)理论设计及设计报告的撰写时间:4天。

八位十进制数字频率计

EDA课程设计报告书 题目:8位十进制数字频率计的设计姓名: 学号: 所属学院: 专业年级: 指导教师: 完成时间:

8位十进制数字频率计的设计 一、设计介绍 数字频率计是采用数字电路制做成的能实现对周期性变化信号频率测量的仪器。频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。通常说的,数字频率计是指电子计数式频率计。频率计主要由四个部分构成:输入电路、时基(T)电路、计数显示电路以及控制电路。在电子技术领域,频率是一个最基本的参数。数字频率计作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度等通过传感器转换成信号频率,可用数字频率计来测量。尤其是将数字频率计与微处理器相结合,可实现测量仪器的多功能化、程控化和智能化.随着现代科技的发展,基于数字式频率计组成的各种测量仪器、控制设备、实时监测系统已应用到国际民生的各个方面。 二、设计目的 (1)熟悉Quatus 11软件的基本使用方法。 (2)熟悉EDA实验开发系统的使用方法。 (3)学习时序电路的设计、仿真和硬件设计,进一步熟悉VHDL设计技术。 三、数字频率计的基本原理 数字频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,通常情况下计算每秒待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1S)信号发生周期变化的次数。如果我们能在给定的1S时间对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。频率计测量频率需要设计整形电路使被测周期性信号整形成脉冲,然后设计计数器对整形后的脉冲在单位时间重复变化的次数进行计数,计数器计出的数字经锁存器锁存后送往译码驱动显示电路用数码管将数字显示出来,需要设计控制电路产生允许产生的门匣信号,计数器的清零信号和锁存器的锁存信号使电路正常工作,再设计一个量程自动转换使测量围更广。 四系统总体框架

频率计51汇编(全)

;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;频率计 ;0.1HZ--500kHZ(P3.4输入) ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ; LCD液晶显示初始化子程序; ;P0=DB,;;RS,RW,E分别接于P2.0,P2.1,P2.2 ; ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;LCD控制/数据口线定义 RS BIT P2.4 ;LCD寄存器选择信号 RW BIT P2.5 ;LCD读写选择信号 E BIT P2.6 ;LCD使能信号 D_1602 EQU P0 ;LCD命令/数据暂存单元定义 COM EQU 30H ;指令寄存器 DAT EQU 31H ;数据寄存器DPBUFF EQU 20H ;显示缓冲器 T0_OVT EQU 30H ;计数器0溢出次数 T0_TIMES EQU 31H ;计数器0计数次数 T1_TIMES EQU 32H ;定时器1计数次数FREG_H EQU 33H ;频率高位 FREG_L EQU 34H ;频率低位 FREG_P EQU 35H ;频率小数点后第一位FREG_un EQU 36H ;频率单位 BCHU_1 EQU 40H ;多字节除法寄存器BCHU_2 EQU 41H BCHU_3 EQU 42H BCHU_4 EQU 43H CHU_1 EQU 44H CHU_2 EQU 45H CHU_3 EQU 46H M EQU 47H N EQU 48H F_D EQU 49H ;频率段提示字符寄存器;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ORG 00H LJMP START ORG 0BH LJMP INT_T0 ORG 1BH LJMP INT_T1 ORG 30H

Verilog HDL语言 四位数字频率计 课程设计

1、设计目的和要求 1、设计一个4位十进制数字频率计。 2、测量范围1~9999Hz,采用4位数码管显示,有溢出指示。 3、量程有1KHz,1MHz两档,用LED灯指示。 4、读数大于9999时,频率计处于超量程状态,发出溢出指示,下次量程,量程自动增大一档。 5、读数小时,频率计处于前量程状态,下次测量,量程自动减小一档。 6、采用记忆显示方式,在计数与显示电路中间加以锁存电路,每次计数结束,将计数结果送锁存器锁存,并保持到下一个计数结束。 2、设计原理 1、基本原理 频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求sysclk 能产生一个1s脉宽的周期信号,并对频率计的每一个计数器cntp的使能端进行同步控制。当clK_cnt高电平时允许计数,并保持其所计的数。在停止计数期间,首先需要一个锁存信号将计数器在前1s的计数值锁存进锁存器reg中,并由外部的7段译码器译出并稳定显示。原理图如图1-1 图1-1 2、模块原理 根据数字频率计的基本原理,本文设计方案的基本思想是分为五个模块来实现其功能,即整个数字频率计系统分为分频模块、控制模块、计数模块、译码模

块和量程自动切换模块等几个单元,并且分别用VHDL对其进行编程,实现了闸门控制信号、计数电路、锁存电路、显示电路等。 3、设计内容 1、分频模块 由于晶体振荡器提供的为50MHz的时钟,而在整个频率计里将用到周期为2s,半个周期为1s的闸门信号,所以我们在此模块先分频产生0.5Hz的分频信号。always@(posedge sysclk) begin if(cnt==26’b10_1111_1010_1111_0000_1000_0000) begin clk_cnt<=~clk_cnt;cnt<=0;end else begin cnt<=cnt+1;end end 二进制的26’b10_1111_1010_1111_0000_1000_0000,即为十进制的50x10^7,由程序中的clk_cnt<=~clk_cnt;cnt<=0;得知会产生我们想要的周期为2s的clk_int信号。仿真结果如图1-2. 图1-2 2、 4位十进制计数器模块 4位十进制计数器模块包含4位十进制的计数器,用来对施加到时钟脉冲输入端的待测信号产生的脉冲进行计数,十进制计数器具有清零控制和进位扩展输出的功能。 always@(posedge clkint) begin if(clk_cnt) begin if(cntp1==’b1001) begin cntp1<=’b0000; cntp2<=cntp2+1; if(cntp2==’b1001)

四位十进制频率计(EDA)

四位十进制频率计设计报告

目录 一、题目分析 (3) 1、设计原理 (3) 二、设计方案 (3) 1、顶层实体描述 (3) 2、模块划分 (4) 3、模块描述 (4) 4、顶层电路图 (5) 三、方案实现 (5) 1、各模块仿真及描述 (5) 2、顶层电路仿真及描述 (6) 四、硬件测试及说明 (7) 五、结论 (7) 六、课程总结 (7) 七、附录(源程序,加中文注释) (8) 1、频率计顶层文件 (8) 2、测频控制电路 (9) 3、16位锁存器 (9) 4、16位计数器 (10) 5、十进制加法计数器 (10)

一、题目分析 1、设计原理 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1S的输入信号脉冲计数允许的信号;1S计数结束后,计数值被锁入锁存器,计数器清零,为下一测评计数周期做好准备。测频控制信号可以由一个独立的发生器来产生。 2、设计要求: FTCTRL的计数使能信号CNT_EN能产生一个1S脉宽的周期信号,并对频率计中的16位计数器couter16D的ENABL使能端进行同步控制。当CNT_EN高电平时允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要一个锁存信号LOAD的上升沿将计数器在前一秒钟的计数值锁进锁存器REG16D中,并由外部的十进制7段译码器译出,显示计数值。设置锁存器的好处是数据显示稳定,不会由于周期性的清零信号而不断闪烁。锁存信号后,必须有一清零信号RST_CNT对计数器进行清零,为下一秒的技术操作做准备。 3、实现功能 当输入一个待测频率时,在测频信号的控制下,可以通过外部的7段译码器显示出其频率值。 二、设计方案 1、顶层实体描述 图1:四位十进制频率计顶层实体 图2:测频控制电路实体图3: 16位计数器实体图4: 16位锁存器实体 图5:十进制加法计数器实体 2、模块划分

基于FPGA的8位十进制数字频率计设计

宝鸡文理学院学报(自然科学版),第33卷,第1期,第-页,2013年3月 J o u r n a l o f B a o j iU n i v e r s i t y o fA r t s a n dS c i e n c e s (N a t u r a l S c i e n c e ),V o l .33,N o .1,p p .-,M a r .2013D O I :C N K I :61-1290/N. h t t p ://w w w.c n k i .n e t /k c m s /d e t a i l /61.1290.N.基于F P G A 的8位十进制数字频率计设计 *1 韩芝侠 (宝鸡文理学院电子电气工程系,陕西宝鸡721016 )摘 要:目的 研究复杂数字电路在E D A 开发系统上的实现方法。方法 在介绍E D A 特征及设 计方法基础上,针对具体的C P L D /F P G A 开发系统,在Q u a r t u s 环境下设计了一款8位十进制数字频 率计。结果 下载/配置到实验板的目标器件上,经实际电路测试验证,达到了预期的设计要求。结论 与传统设计方法相比, 该方案具有外围电路简单,程序修改灵活和调试容易等特点;设计的数字频率计测量范围大,精度高,读数直观清晰,可用于频率测量、机械转速测量等领域。 关键词:E D A ;C P L D /F P G A ;Q u a r t u s ;数字频率计;复杂数字系统;仿真中图分类号:T P 391.9 文献标志码:A 文章编号:1007-1261(2013)01-0000-04D e s i g no f 8-b i t d e c i m a l s y s t e md i g i t a l f r e q u e n c y m e t e rb a s e do nF P G A HA NZ h i -x i a (D e p t .E l e c t r o n i c s&E l e c t .E n g n .,B a o j iU n i v .A r t s&S c i .,B a o j i 721016,S h a a n x i ,C h i n a )A b s t r a c t :A i m T os t u d i e dt h em e t h o dt or e a l i z ead i g i t a l c i r c u i t i nE D A d e v e l o p m e n t s y s t e m.M e t h o d s B a s e do ni n t r o d u c i n g t h ec h a r a c t e r i s t i c sa n dd e s i g n m e t h o d so fE D A ,t oa i m a ts p e c i f i c C P L D /F P G Ad e v e l o p m e n t s y s t e m ,A8-b i t d e c i m a l s y s t e md i g i t a l f r e q u e n c y m e t e r i s d e s i g n e d i nQ u -a r t u s e n v i r o n m e n t .R e s u l t s I t i sd o w n l o a d /c o n f i g u r a t i o nt o t h e t a r g e td e v i c eo f e x p e r i m e n t a l p l a t e ,a f t e r a c t u a l c i r c u i t t e s t i n g a n dv e r i f y i n g ,t h ee x p e c t e dd e s i g nr e q u i r e m e n t i sa c h i e v e d .C o n c l u s i o n C o m p a r i n g w i t h t r a d i t i o n a l d e s i g nm e t h o d ,t h i s d e s i g nh a s c h a r a c t e r i s t i c s o f s i m p l e p e r i p h e r a l c i r c u i t ,f l e x i b l e p r o g r a m m o d i f i c a t i o nw a y a n d e a s y d e b u g m o d e ;T h i s f r e q u e n c y m e t e r a l s oh a s c h a r a c t e r i s t i c s o fw i d em e a s u r e m e n t r a n g e ,h i g ha c c u r a c y ,c l e a r a n d i n t u i t i v e r e a d i n g ,a n d c a nb e u s e d f o r f r e q u e n c y m e a s u r e m e n t ,m e c h a n i c a l s p e e dm e a s u r e m e n t ,e t c .K e y w o r d s :E D A ;C P L D /F P G A ;Q u a r t u s ;d i g i t a l f r e q u e n c y m e t e r ;c o m p l e xd i g i t a l c i r c u i t ;s i m -u l a t i o n 1 E D A 技术应用 随着计算机技术和集成电路技术的快速发展,电子技术设计面临着复杂度不断提高而设计周期不断缩短的矛盾。为了解决这个问题,就必须采用新的设计方法和使用高层次的设计工具,于是E D A 技术应运而生。E D A 遵循从上到下的设计原则。首先从系统设计入手,在顶层进行功 能划分和结构设计,顶层电路中的每个次层模块均可完成一个较为独立的功能,次模块在调试成 功后可生成一个默认符号,供上一层模块调用。而高密度可编程逻辑器件F P G A 是E D A 设计所必须的一种编程下载技术,具有易失性,每次重新加电,都要重新装入配置数据,突出优点是可反复编程,系统上电时,给F P G A 加载不同的配置数 *收稿日期:2012-09-19,修回日期:2012-10-02 基金项目:宝鸡文理学院科研项目(J G 0831 )作者简介:韩芝侠(1970-),女,陕西扶风人,副教授,硕士,研究方向:检测技术及自动化装置.E m a i l :h a n _z h i _x i a 999@163.c o m 网络出版时间:2012-10-11 17:25 网络出版地址:https://www.360docs.net/doc/eb14935.html,/kcms/detail/61.1290.N.20121011.1725.001.html

数字频率计

燕山大学EDA课程设计报告书 题目:数字频率计

一、设计题目及要求 题目名称:数字频率计 要求: 1.输入为矩形脉冲,频率范围0~999KHz; 2.用3 位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz 和KHz 两档,自动切换,要有档位指示。 4. 超出测量范围,显示3 条短线“- - -”,且发出间隔为1s 的蜂鸣报警。 二、设计过程及内容 测量脉冲信号频率就是测量在单位时间内所产生的脉冲个数,所以在1S 时间内计数器所记录的结果,就是被测信号的频率。测量范围分别是0~999HZ、1~999kHZ,在kHZ档时,LED灯亮。 我们将数字频率计分为六个模块,分别是366分频模块,二分频模块,计数模块,选择模块,扫描模块,报警模块。 1、总体电路图如下: 右侧saomiao模块的输出端ABCDEF与计数器高位的进位输出端取非后相

与,再接接入实验箱,G和进位输出端相或,再接实验箱,实现在超出量程时显示“---”。 2、各部分电路图及功能 (1)分频模块 所选实验箱时钟信号频率为366HZ,为产生周期为两秒、占空比为1:2的时钟信号,需将366HZ的信号先经过366分频,产生周期为1HZ的信号,再经过二分频产生占空比符合要求的时钟信号。 366分频模块电路图: 功能说明:本模块使用三片74160级联构成366进制计数器,将输入的366HZ信号分频为1HZ,占空比为1:366的信号。 二分频模块电路图; 功能说明:使用边沿D触发器构成二分频,在输入信号的上升沿输出一秒的高电平或低电平,形成占空比1:2的时钟信号。

(2)计数器模块 功能说明:本模块共使用8片74160,上部的7片74160构成十进制计数器,左侧的三片计数器实现档位0~999HZ的计数,超出范围后将会有高电平的进位输出,使LED端产生周期为1s的脉冲,即LED灯进行1s的闪烁,标志着此时档位为kHZ。右侧三片实现kHZ档位计数,当低三位最后一个计数器产生进位时,高三位的输出端取或时SEL就会输出高电平,连接二选一模块进行档位选择。当高位输出产生进位时,最后一块产生报警信号的输出DD,为报警模块提供输入。 (3)数据选择器模块 功能说明:输出的档位由输入SEL控制,当SEL=0时,数据选择器选择A 输出即高三位KHZ档;当SEL=1时选择B输出即低三位HZ档。并利用74273在计数1s后输入数据,进行锁存,实现只显示最后的结果,不显示计数过程。其中1S的时钟信号加非门输出端,实现了数据的保存和输出。

四位十进制频率计

四位十进制频率计

————————————————————————————————作者:————————————————————————————————日期:

一、设计任务与要求 1.设计4位十六进制频率计,学习较复杂的数字系统设计方法; 2.深入学习数字系统设计的方法与步骤; 3.用元件例化语句写出频率计的顶层文件; 4.用VHDL硬件描述语言进行模块电路的设计; 5.设计硬件要求:PC机,操作系统为Windows2000/XP,本课程所用系统均为 max+plus II 5.1设计平台,GW48系列SOPC/EDA实验开发系统。 二、总体框图 2.1工作原理以及方案 原理工作说明: 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的对输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一测频计数周期作准备的计数器清0信号。这3个信号可以由一个测频控制信号发生器产生,即图(a)中的TESTCTL,它的设计要求是,TESTCTL的计数使能信号CNT_EN 能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT4B的ENA使能端进行同步控制。当CNT_EN高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先需要产生一个锁存信号LOAD,在该信号上升沿时,将计数器在前1秒钟的计数值锁存进各锁存器REG4B中,并由外部的7段译码器译出,显示计数值。设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存信号之后,必须有一清零信号RST_CNT对计数器进行清零,为下1秒钟的计数操作作准备。其工作时序波形如图(a)。

用verilog HDL设计地4位频率计

简单4位数字频率计设计 一、设计要求 (1)、利用Verilog HDL语言行为描述方法,设计一个简单的4位数字频率计;(2)、要求输入标准时钟信号频率为1MHz,系统可计数频率围为1Hz~9999Hz;(3)、系统具有复位信号,且当计数频率发生溢出时能够给出指示信号,计数的频率通过4个共阴数码管进行显示(动态扫描显示)。 二、系统结构框图 4位数字频率计系统结构框图 根据设计要求,输入系统的标准时钟信号要先经过分频后得到一个周期为2s占空比50%的信号,用来对输入信号采样,得到采样信号GATED_CLK;为了能够控制计数模块对采样的信号进行正常计数及保存计数后的频率,这要求,要在计数器刚好完成计数后立即将数据输出给显示部分进行显示,并且要为下次计数做好准备,因此数据信号处理部分还要有产生控制计数器的两个信号LOAD和COUNTER_CLR,LOAD信号控制计数完成后的数据及时输出给显示,COUNTER_CLR信号控制计数器清零;计数模块就是完成对采样信号的计数,并当计数发生溢出时产生溢出信号FLOW_UP;显示控制模块要完成将计数模块输入的信号进行译码显示。

三、信号描述 测试信号采样原理: Gated signal Signal for test To display Signal for test GATED_CLK 、LOAD 、COUNTER_CLR 信号的关系: COUNTER_CLR GATED_CLK LOAD 程序中用到的信号变量:

四、Verilog程序 各子模块verilog程序: (1)信号处理模块_verilog: module FREQUENCY_COUNTROL_BLOCK(GATED_CLK,LOAD,COUNTER_CLR,CLK_IN,SIGNAL _TEST,RESET); output GATED_CLK; output LOAD; output COUNTER_CLR; input CLK_IN; input SIGNAL_TEST; input RESET; reg LOAD; reg COUNTER_CLR; reg DIVIDE_CLK; reg[19:0]; reg A1,A2; //信号分频:由CLK_IN得到分频后的信号DIVIDE_CLK(0.5Hz) always (posedge CLK_IN) begin

开题报告数字频率计

杭州电子科技大学 毕业设计(论文)开题报告 题目数字频率计的设计与实现 学院通信工程学院 专业通信工程 姓名孔冬滨 班级12083414 学号12081423 指导教师易志强

一、综述本课题国内外研究动态,说明选题的依据和意义 (一)课题的意义 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。近年来,在现代电子系统设计领域中,电子设计自动化已成为重要的设计手段。简单的搭建电路已经不适应大规模电路设计要求。EDA的可编写程序设计硬件电路设计,可重复下载的优势非常明显。这样做既可节省时间又能避免不必要的资源浪费。数字频率计的设计,其功能是实现信号的频率、周期、占空比以及脉宽等指标的测量,在电子测量、航海、探测、军事等众多领域的应用范围广泛。 数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。而采用FPGA现场可编程门阵列为控制核心,通过硬件描述语言VHDL编程,在Quartus II仿真平台上编译、仿真、调试,并下载到FPGA芯片上,通过严格的测试后,能够较准确地测量方波、正弦波、三角波、矩齿波等各种常用的信号的频率,而且还能对其他多种物理量进行测量,并且将使整个系统大大简化,提高了系统的整体性能和可靠性。 本课题采用的是等精度数字频率计,在一片FPGA开发板里实现了数字频率计的绝大部分功能,它的集成度远远超过了以往的数字频率计。又由于数字频率计最初的实现形式是用硬件描述语言写成的程序,具有通用性和可重用性。所以在外在的条件(如基准频率的提高,基准频率精度的提高)的允许下,只需对源程序作很小的改动,就可以使数字频率计的精度提高几个数量级。同时对于频率精度要求不高的场合,可以修改源程序,使之可以用较小的器件实现,从而降低系统的整体造价。 (二)国内外现状及发展趋势 我国在这个领域的发展是极其迅速,现在的技术实际已是多年来见证。我国现阶段电子产品的市场特点,电子数字化发展很快,数字频率计已经应用于高科技等产品上面,可以不夸张的说没有不包含有频率计的电子产品。我国的CD、VCD、DVD和数字音响广播等新技术已经大量进入市场,而在今天这些行业中都必须用到频率计。到今天频率计已开始并正向智能、精细的方向发展。 数字电路制造工业的进步,使得系统设计人员能在更小的空间实现更多的功能,从而提高系统可靠性和速度。现如今,数字频率计已经不仅仅是测量信号频率的装置了,用它还可以测量方波脉冲的脉宽。在人们的生活中频率计也发挥着越来越重要的作用,比如用数字频率计来监控生产过程,这样可以及时发现系统运行中的异常情况,以便给人们争取时间处理。

8位十进制频率计_EDA课程设计报告

EDA课程设计报告 名称: 8位十进制频率计 学号: 姓名: 年级专业: 2011级电子信息工程 学院: 物电学院 指导老师: 日期: 2014年6月2日 安徽师范大学物理与电子信息学院 College of Physics and Electronic Information, Anhui Normal University

目录 一、设计目的 (2) 二、设计要求 (2) 三、设计思路 (3) 四、设计原理 (3) 五、设计仿真 (3) 六、实验现象 (4) 七、设计源码 (4) 八、总结 (9) 参考书目 (9) 引言 数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件教多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着现场可编程阵列FPGA的应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。 一、课程设计目的 1)巩固和加深对“EDA技术”、“数字电子技术”的基本知识的理解,提高综合运用本课程所学知识的能力。 2)培养学生根据课题需要选学参考书籍、查阅手册、图表和文献资料的自学能力。通过独立思考,深入钻研相关问题,学会自己分析解决问题的方法。 3)培养硬件设计、软件设计及系统软、硬件调试的基本思路、方法和技巧,并能熟练使用当前较流行的一些有关电路设计与分析的软件和硬件。 二、课程设计要求 1)脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N 为计数器所累积的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。 2)被测频率信号取自实验箱晶体振荡器输出信号,加到主控室的输入端。 3)再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。 4)时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。 5)f=N/T,改变时基信号的周期T,即可得到不同的测频范围。 6)当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新一次采样做好准备 三、课程设计思路 频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当TSTEN高电平时,允许计数;低电平时,停止计数,并保持其所计的数。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B中,并由外部的7段译码器译出并稳定显示。锁存信号之后,必须有一清零信号CLR_CNT对计数器进行清零,为下1秒钟的计数操作作准备。 寄存器REG32B设计要求是:若已有32位BCD码存在于此模块的输入口,在信号LOAD的上升沿后即被锁存到寄存器REG32B内部,并由REG32B的输出端输出,然后由7段译码器译者成能在数码管上显示输出的相应数值。

八位十进制数字频率计的设计

2012~2013学年第二学期 《数字系统设计》 课程设计报告 题目:数字频率计的设计 班级:10电子信息(1) 姓名:鲍学贵李闯王群卢军 张力付世敏凌玲尹凡指导教师:周珍艮 电气工程系 2013年6月

《数字系统设计》任务书

摘要 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。数字频率计广泛应用于科研机构、学校、实验室、企业生产车间等场所。研究数字频率计的设计和开发,有助于频率计功能的不断完善、性价比的提高和实用性的加强。 本文介绍了一种自顶向下分层设计多功能数字频率计的设计方法。该频率计采用 硬件描述语言编程,以为开发环境,极大地减少了硬件资源的占用。数字频率计模块划分的设计具有相对独立性,可以对模块单独进行设计、调试和修改,缩短了设计周期。所设计的语言通过仿真能够较好的测出所给频率并且满足数字频率计的自动清零和自 动测试的功能要求,具有理论与实践意义。 关键词:;数字频率计; ;

目录 第一章绪论 (1) 1.1 设计背景 (1) 1.2 设计意义 (1) 1.3 本文的主要工作 (2) 第二章数字频率计的系统分析 (3) 2.1 8位十进制数字频率计系统设计的原理 (3) 2.1.1 数字频率计的基本原理 (3) 2.1.2 系统总体框架图 (3) 2.2 8位十进制数字频率计设计任务与要求 (4) 2.3 目标芯片10K (4) 第三章各功能模块基于的设计与仿真 (6) 3.1 8位十进制数字频率计的电路逻辑图 (6) 3.2 测频控制信号发生器的功能模块及仿真 (6) 3.3系统时钟分频的功能模块及仿真 (8) 3.4 32位锁存器的功能模块及仿真 (9) 3.4.1 锁存器 (9) 3.4.2 锁存器的功能模块及仿真 (9) 3.5 数码管扫描的功能模块及仿真 (10) 3.6 数码管译码显示的功能模块及仿真 (12) 3.7 十进制计数器的功能模块及仿真 (14) 3.7.1 计数器 (14) 3.7.2 十进制计数器的功能模块及仿真 (14) 3.8 8位十进制数字频率计的仿真 (16) 第四章结束语 (23) 参考文献 (24) 答辩记录及评分表 (25)

最新多功能8位十进制频率计数器的设计

多功能8位十进制频率计数器的设计

多功能8位十进制频率计数器的设计 设计题目:多功能8位十进制计数器的设计学生学号: 08060311205 学生姓名:孔文尧 专业班级:电信 112 指导教师:邓茜

摘要 使用VHDL 语言来设计,画出流程图和仿真图,让设计的电路通过硬件仿真,再在下到相关器件上,指导满足要求,能实现电子自动化的过程。使用该仪器测试所得到的信号的频率,有正弦波,有方波但是其信号必须按周期性变化,否则一定是机器坏了和操作不得当。因此这个毕业设计,不但有力于让数字频率计的功能更强,也可以让成本降低和增加其实际作用。所有的科研院所,学校,实验室,车间等商业机构都使用了大量的数字频率器或其相关产品。因为它的使用性,价格也相当的低廉所以被人们广泛的使用和研究。在这被人们所注意到,而且仿真可以提供更好的测量频率也会让实验的结果更加的精确,他能满足了数字频率计自动清零需求,当然也能满足自动化功能测试要求。 现在我们对他的研究途径它不仅仅在于容易阅读,也在于我可以控制精度,这也是很牛逼的。最重要的是数字频率计,在高科技设备研发和数字卫星领域,数字通信应用等领域中有不俗的贡献。 [关键词]:VHDL 语言仿真频率计数器。

Summary Use c language to design, draw a flowchart and simulation map, so the design of the circuit by hardware emulation, and then next to the relevant device guidance to meet the requirements, to achieve the electronic automated process. Frequency signal obtained by the instrument test with a sine wave, but their well-wave signal must be periodically changed, the machine must be broken and the operation shall not be treated. So this graduation design, not only to make powerful digital frequency meter more powerful, but also allows cost reduction and increase its practical effect. All research institutes, schools, laboratories, workshops and other business organizations are using a lot of digital frequency or its related products. Because of its use, the price is quite low so been widely used and studied. In this been noticed, and simulation can provide better measurement frequency also make experimental results more accurate, he can meet the needs of the digital frequency meter is automatically cleared, of course, but also to meet the requirements of automated functional testing, Now we study the way for him it's not just that it is easy to read, but also that I can control precision, it is also very fast hardware. The most important is the digital frequency meter, high-tech equipment in the field of research and development and digital satellite, digital communications applications in other fields have good contributions. [Keywords]: c language simulation frequency meter.

相关文档
最新文档