压控振荡器报告

压控振荡器报告
压控振荡器报告

HefeiUniversity

压控振荡器

项目名称:压控振荡器

作者姓名:高慧敏 1305022015 13通信2班

马彪 1305011026 13电子1班

徐文山 1305011027 13电子1班

指导老师:段惠敏

完成时间:2015年7月6日

压控振荡器

压控振荡器在通信、雷达、测控等方便有广泛的应用,利用锁相环输出的压控振荡信号更为稳定,方便接收端接收稳定的信号。本模块由MC12022和MC145152构成的鉴相器(PD)、LM392和外围电路构成的环路滤波器(LF)、以及MC1648组成的压控振荡器(VCO)构成锁相环。本模块可以实现88~108MHz的频率输出,输出频率稳定度优于,输出电压峰峰值1V±0.1V等其他指标。

关键词:鉴相器,环路滤波器,压控振荡器,分频;

目录

1、系统方案设计................................................. - 4 -

1.1总体方案设计................................................. - 4 -

1.2系统设计方案................................................. - 4 -

1.2.1压控振荡器的设计方案论证与选择........................ - 4 -

1.2.2频率合成器的设计方案论证与选择........................ - 4 -

2、主要电路设计与分析........................................... - 5 -

2.1前置分频器和锁相频率合成器电路设计.......................... - 5 -

2.2环路滤波器设计.............................................. - 6 -

2.3压控振荡器电路设计.......................................... - 7 -

2.4锁相环分析.................................................. - 7 -

3、系统测试与分析................................................... - 9 -

3.1测试方案..................................................... - 9 -

3.2测试结果..................................................... - 9 -

3.3数据分析..................................................... - 9 -

4、总结............................................................ - 10 -参考文献........................................................... - 10 -附录............................................................... - 10 -

1、 系统方案设计

1.1总体方案设计

根据题目要求设计制作一个压控振荡器,振荡器的输出为无明显失真的正弦波,输出频率在88~108MHz ,可实现输出频率步进,步进间隔为1MHz ,输出电压峰峰值

(10.1)P P

V V

-=±。综合RC 振荡器、LC 振荡器和晶体振荡器的输出频率稳定度和调节范

围特点,本系统采用LC 振荡器,利用锁相环原理,相位负反馈系统使得输出电压相位稳定。使输出电压稳定在一固定频率和电压幅值。本系统锁相环整体框图如图1所示:

图1:锁相环框图

1.2系统设计方案

1.2.1压控振荡器的设计方案论证与选择

方案1:采用分立元件构成。利用低噪声场效应管作振荡管,采用变容二极管直接接入振荡回路作为压控器件,电路为电感三点式振荡器。该方法实现简单,调试困哪,现有的技术不能直接测量电感的大小,输出频率不易灵活控制。

方案2:采用压控振荡芯片MC1648和变容二极管,外接一个LC 谐振回路构成变容二极管压控振荡器。只需要调节变容二极管两端的电压便可以改变MC1648的输出频率。外围电路简单,,内部含有AGC 电路,在输入信号电平变化时,用改变增益的方法维持输出信号电平基本不变,系统可靠性高,利用锁相环技术提高输出频率稳定性。

综上所述,我们组选择方案2。

1.2.2频率合成器的设计方案论证与选择

方案1:采用模拟锁相环式频率合成技术,通过环路分频器降频,将VCO 的频率降低,与参考频率进行鉴相。优点是:可以得到任意小的频率间隔;鉴相器工作频率不高,频率变化范围不大,带内带外噪声和锁定时间易于处理;不需要昂贵的晶体滤波器;频率稳定度与参考晶振的频率稳定度相同。缺点是:分辨率的提高需要通过增加循环次数来实现,电路超小型化和集成化比较复杂。

方案2:采用数字锁相环频率合成技术,由晶振、鉴频/鉴相器、环路滤波器、和压控

振荡器组成。利用锁相环,将压控振荡器输出的频率锁定在所需频率上,可以很好的选择所需频率信号。频率合成采用大规模集成PLL芯片MC145152,,前置分频器选用芯片MC12022。MC145152是MOTOROLA公司生产的大规模集成电路,它是一块并行码输入方式置定、由14根并行输入数据编程的双模CMOS-LSI锁相环频率合成器。环路滤波器选用LM392和

综上所述,我们选择方案2。

2、主要电路设计与分析

2.1前置分频器和锁相频率合成器电路设计

采用MC12022前置分频器与MC145152中的÷A和÷计数器一起构成一个吞咽脉冲可编程分频器。图2为其工作示意图,其中(a)是P/(P+1)前置分频器方框图,(b)是吞咽脉冲计数的示意图。MC12022的分频比为P=63和64。MC12022受控于吞咽计数器的分频比切换信号(即模式选择信号M)。当M为高电平时,分频比为P+1,当M为低电平时,分频比为P。MC145152内的÷N和÷A计数器均为减法计数器。当减到零时,÷A计数器输出由高电平变为低电平;÷N计数器减到零时,输出一脉冲到鉴相器,并同时将预置的N 和A重新置入÷A和÷N计数器。利用这种方法可以方便地使总分频比连续数,总分频比D=PN+A。

图2:(a)前置分频器方框图图2:(b)吞咽脉冲计数示意图

MC145152的应用电路图如图3所示:

图3:MC145152应用电路图

2.2环路滤波器设计

经过鉴相器的分频鉴相作用后,输入到环路滤波器的信号为参考频率和VCO 振

荡频率的误差信号R φ和V φ两个脉冲信号,环路滤波器的电路连接方式如图所示:

图5:环路滤波器连接图

环路滤波器的带宽为:

)

(t u

d

2.3压控振荡器电路设计

压控LC 振荡器电路由压控振荡芯片MC1648、变容二极管以及LC 谐振回路组成,原理图如图6所示。

3脚位缓冲输出端,一路供前置分频器MC120252,一路锁相环输出;MC1648引脚5端是自动增益控制电路AGC 的反馈输入端,将使用在功率放大电路的输出端,此锁相环未作。一对变容二极管背对背地与该谐振电路相连,振荡器的输出频率随着加在变容二极管上的电压大小而改变;MC1648的内部电路与10脚和12脚外接的LC 谐振回路组成正反馈的正弦振荡电路,振荡频率由

C

f

=

计算。现有的电感电感值较高,不适合使用,所以用漆包线绕制,电感值大约为0.256uH,变容二极管的电容值随外加电压信号变化,可以使输出频率在100MHz 左右20MHz 范围内。

2.4锁相环分析

锁相环的作用是通过相位比较,使输出信号 的相位和输入信号 的相位保

持一致,进而使其频率保持相同。输入信号 和输出信号 之间的相位由鉴相器

进行比较,鉴相器的输出电压v pd 是 和 相位差的函数,鉴相器的输出经过环

路滤波器滤除高频分量和噪声后得到一个较为平稳的电压 ,该电压连接到压控振荡

器的压控端,控制压控振荡器的输出频率,压控振荡器的输出最终反馈到鉴相器的

输入端,与输入信号进行相位比较。虽然在环路中鉴相器和环路滤波器两个模块的输出节

点上所关注的物理量是电压,但整个环路进行负反馈时在输出端采样和输入端求和的都是

)

(t u o

)

(t u i

)

(t u i )

(t u o

)

(t u i

)

(t u o

)

(t u c

)

(t u o

振荡信号的相位,负反馈时在输出端采样和输入端求和的都是振荡信号的相位。

假设输入信号 vi(t)和输出信号 vo(t)分别为:

(2-1) (2-2)

假设鉴相器是一个简单的模拟乘法器,此时,可以得到:

(2-3)

是一个传输系数,单位为 1/V ,

将式(2-1)和(2-2)代入上式,可得:

v

pd

经过环路滤波器低通滤波,滤除了上述信号中频率较高的(oi)分量,最终可以得到:

模拟乘法鉴相器具有正弦性质的鉴相特性,其鉴相曲线如图4所示。

图4:鉴相器模拟乘法器曲线

代表压控振荡器的输出信号同时也是锁相环回路的反馈信号; 是参考频率

源产生的信号;是鉴相器的输出信号;是环路滤波器输出的比较纯粹的控制信

)

(sin ))(sin()(t t t t i

i i

i i

i

V V

v φφω=+=)

(sin ))(sin()(t t t t o

o o

o o

o

V V

v φφω=+=)

()()(t t t v v K v

o i m pd

?=K

m

[]

[

]

)

()()(sin )]

()()sin[(21

)

(cos )(sin )

()()(t t t t t t t t t t t o

i o i o i o i o

i m o

i

o i m o i m pd

V V K V V K v v K v

φφωωφφωωφφ++++-+-=

==[]

[[]

)

(sin )(sin 2

1

))

(()((sin 21

)

()()(sin 21

)(00t t t t t t t t t t e d e o i m o i i o

i m o i i o

i m d K V V K V V K V V K v φφφωφωφφωω==--+=

-+-

=

)

(t u

o

)

(t u i

)

(t u d )

(t u c

号,也是控制 VCO 振荡的信号。过程分析如下:首先 PD 对 和 之间的相位进

行比较,产生和一些非理想的高频分量,经过环路滤波器滤除高频分量后变成

控制着 VCO 的振荡频率,如果 VCO 的振荡频率与参考频率相差较大,PD 就会产

生一个幅度较大的控制电压提高 VCO 的振荡频率,整个过程就是 VCO 的振荡频率一直不停的追赶参考频率直到二者相等, LPF 产生的 为一个固定的值。此时我们就可以

说锁相环处于锁定状态。

3、系统测试与分析

3.1测试方案

MC145152/MC12022/MC1648的供电电压均为5V ,LM392供电电压为12V 。 测试仪器:

SIGLENT SDS 2202数字示波器 VICTOR VC890C+ 数字外用表 EE1642C 函数信号发生器

3.2测试结果

77~111 3.3数据分析

从表格中的数据可以看出:

)

(t u o )

(t u i

)

(t u d

)

(t u d

)

(t u

c

)

(t u c

1、基本振荡器部分可以实现88~108MHz的输出频率范围,稳定度优于,输出电压峰峰

值为150mV.

2、锁相环振荡输出由于环路滤波器处于非正常工作而无法正常输出。

3、环路滤波器的参数设置存在问题,下一步需要调整环路滤波器的参数值选取合适的

低通滤波器上限值。

4、按照数据手册上的接法分频,得到的分频数据存在误差。

5、不明确鉴相器输出的和的信号是否正确,导致环路滤波器的正反相输入端信号不明

确是否正确,还需要解决。

4、总结

通过本次的压控振荡器制作,我们了解了压控振荡器锁相环输出是由鉴相器、环路滤波器、压控振荡器三部分组成环路系统,参考信号和基本振荡器输出的信号经由鉴相器鉴相,输出误差信号给环路滤波器,形成一个直流电压值给压控振荡器谐振出信号,直到系统的相位差消除,锁相环锁定,输出稳定的信号,方便给接收端接收信号,形成一个收发信号的系统。

参考文献

[1]吴洪天.锁相环频率合成器设计研究与设计 [J].电子科技大学 2013年5月

[2]郑贤.压控振荡器的研究与设计 [J].西安电子科技大学 2013年1月

[3] 康华光、陈大钦、张林.电子技术基础模拟部分(第五版)[M].北京:高等教育出版社,2011年5月

[4]黄智伟.全国大学生电子设计竞赛系统设计(第2版)[M].北京:北京航空航天大学出版社,2011年2月

附录

实物图

压控振荡器实验报告

微波与天线实验报告 实验名称:压控振荡器 实验指导:黎鹏老师 一、实验目的: 1.了解变容二极管的基本原理与压控振荡器的设计方法。 2.利用实验模组的实际测量使学生了解压控振荡器的特性。 3.学会使用微波软件对压控振荡器进行设计和仿真,并分析结果。 二、预习内容: 1.熟悉VCO的原理的理论知识。 2.熟悉VCO的设计的有关的理论知识。

三、实验设备: 项次设备名称数量备注 1 MOTECH RF2000 测量仪1套亦可用网络分析仪 2 压控振荡器模组1组RF2KM9-1A 3 50Ω BNC及1MΩ BNC 连接线4条CA-1、CA-2 、CA-3、CA-4 4 直流电源连接线1条DC-1 5 MICROWAVE软件1套微波软件 四、实验步骤 1、硬件测量: 1.对MOD-9,压控振荡器的频率测量以了解压控振荡电路的特性。 2.准备电脑、测量软件、RF-2000,相关模组,若干小器件等。 3.测量步骤: MOD-9之P1端子的频率测量: ⑴设定 RF-2000测量模式:COUNTER MODE. ⑵用DC-1连接线将RF-2000后面12VDC 输出端子与待测模组之12VDC 输入端子连接起来。 ⑶针对模组P1端子做频率测量。 ⑷调整模组之旋钮,并记录所量测频率值: 最大_623_______ MHZ。 最小___876_____ MHZ。 4.实验记录:填写各项数据即可。 5.硬件测量的结果建议如下为合格: RF2KM9-1A MOD-9 fo 600-900MHZ Pout≥5dBm 6.待测模组方框图: 2、软件仿真: 1、进入微波软件。 2、在原理图上设计好相应的电路,设置好端口,完成频率设置、尺寸规范、 器件的加载、仿真图型等等的设置。

高频压控振荡器设计

前言 (1) 1高频压控振荡器设计原理压控振荡器 (2) 1.1工作原理 (2) 1.2变容二极管压控振荡器的基本工作原理 (2) 2高频压控振荡器电路设计 (4) 2.1设计的资料及设备 (4) 2.2变容二极管压控振荡器电路的设计思路 (4) 2.3变容二极管压控振荡器的电路设计 (4) 2.4实验电路的基本参数 (5) 2.5实验电路原理图 (6) 3高频压控振荡器电路的仿真 (7) 3.1M ULTISIM软件简介 (7) 3.2M ULTISIM界面介绍 (8) 3.2.1电路仿真图 (9) 3.2.2压控振荡器的主要技术指标 (9) 3.3典型点的频谱图 (9) 4高频压控振荡器电路实现与分析 (16) 4.1实验电路连接 (16) 4.2实验步骤 (16) 4.3实验注意事项 (18) 4.4硬件测试 (19) 5心得体会 (21) 参考文献 (22)

压控振荡器广泛应用于通信系统和其他电子系统中,在LC振荡器决定振荡器的LC 回路中,使用电压控制电容器(变容管),可以在一定的频率范围内构成电调谐振荡器。这种包含有压控元件作为频率控制器件的振荡器就称为压控振荡器。它广泛应用与频率调制器、锁相环路以及无线电发射机和接收机中。 压控振荡器是锁相环频率合成器的重要组成单元,在很大程度上决定了锁相环的性能。在多种射频工艺中,COMS工艺以高集成度、低成本得到广泛的应用。 压控振荡器(VCO)在无线系统和其他必须在一个范围的频率内进行调谐的通信系统中是十分常见的组成部分。许多厂商都提供VCO产品,他们的封装形式和性能水平也是多种多样。现代表面的贴装的射频集成电路(RFIC)VCO继承了近百来工程研究成果。在这段历史当中。VCO技术一直在不断地改进中,产品外形越来越小而相位噪声和调谐线性度越来越好。 对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。 压控振荡器可分为环路振荡器和LC振荡器。环路振荡器易于集成,但其相位噪声性能比LC振荡器差。为了使相位噪声满足通信标准的要求,这里对负阻RC压控振荡器进行了分析。

压控振荡器

压控振荡器 一.基本原理 信号的频率取决于输入信号电压的大小,因此称为“压控振荡器”。其它影响压控振荡器输出信号的参数还VCO(Voltage ControlledOscillator)(压控振荡器)是指输出信号的频率随着输入信号幅度的变化而发生相应变化的设备,它的工作原理可以通过公式(5-1)来描述。 (5-1) 其中,u(t)表示输入信号,y(t)表示输出信号。由于输入信号的频率取决与输入信号的电压的变化,因此称为“压控振荡器”。其他影响压控振荡器输出信号 的参数还有信号的幅度A c ,振荡频率f c ,输入信号灵敏度k c ,以及初始相位。 压控振荡器的特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC 压控振荡器居二者之间。

在MATLAB中压控振荡器有两种:离散时间压控振荡器和连续时间压控振荡器,这两种压控振荡器的差别在于,前者对输入信号采用离散方式进行积分,而后者则采用连续积分。本书主要讨论连续时间压控振荡器。 为了理解压控振荡器输出信号的频率与输入信号幅度之间的关系,对公式(5-1)进行变换,取输出信号的相角Δ为 对输出信号的相角Δ求微分,得到输出信号的角频率ω和频率f分别为: ω=2πf c+2πk c u(t) (5-3) (5-4) 从式(5-4)中可以清楚地看到,压控振荡器输出信号的频率f与输入信号幅度u(t)成正比。当输入信号u(t)等于0时,输出信号的频率f等于f c;当输入信号u(t)大于0时,输出信号的频率f高于f c;当输入信号u(t)小于0时,输出信号的频率f低于f c。这样,通过改变输入信号的幅度大小就可以准确地控制输出信号的频率。 二.程序及结果分析 定义一个锯齿波信号,频率是20HZ,幅度范围在0V和1V之间。现在用此信号 =20HZ,输入信号作为压控振荡器的输入控制信号,该压控振荡器的振荡频率f c 灵敏度,初始相位。使用MATLAB求得输出的压控振荡信号。MATLAB 程序如下: %MATLAB实现压控振荡器 clear all; clc; t0=0.15;%定义压控信号持续时间 ts=0.0001;%定义信号采样率 fc=50;%定义振荡频率 t=[0:ts:t0];%时间矢量 u0=20*t(1:length(t)/3);%定义压控信号(单周期) u=[u0,u0,u0,0];%定义压控信号(3个周期) Ac=1;%定义振幅 kc=0.1;%定义输入信号灵敏度 fi=0;%定义初始相位 %对压控信号进行积分 u_int(1)=0;%定义压控信号积分初值 for i=1:length(u)-1%进行离散积分 u_int(i+1)=u(i)+u_int(i);

BZ振荡反应-实验报告

B-Z 振荡反应 实验日期:2016/11/24 完成报告日期:2016/11/25 1 引言 1.1 实验目的 1. 了解Belousov-Zhabotinski 反应(简称B-Z 反应)的机理。 2. 通过测定电位——时间曲线求得振荡反应的表观活化能。 1.2 实验原理 对于以B-Z 反应为代表的化学振荡现象,目前被普遍认同的是Field ,kooros 和Noyes 在1972年提出的FKN 机理,,他们提出了该反应由萨那个主过程组成: 过程A ① ② 式中 为中间体,过程特点是大量消耗。反应中产生的能进一步反应,使 有机物MA 如丙二酸按下式被溴化为BrMA, (A1) (A2) 过程B ③ ④ 这是一个自催化过程,在消耗到一定程度后, 才转化到按以上③、④两式 进行反应,并使反应不断加速,与此同时,催化剂氧化为。在过程B 的③和④中,③的正反应是速率控制步骤。此外, 的累积还受到下面歧化反应的制约。 ⑤ 过程C MA 和使离子还原为,并产生(由)和其他产物。 这一过程目前了解得还不够,反应可大致表达为: ⑥2++f +2+其他产物 式中f 为系数,它是每两个离子反应所产生的数,随着与MA 参加反应 的不同比例而异。过程C 对化学振荡非常重要。如果只有A 和B ,那就是一般的自催化反应或时钟反应,进行一次就完成。正是由于过程C ,以有机物MA 的消耗为代价,重新得到和,反应得以重新启动,形成周期性的振荡。 322BrO Br H HBrO HOBr --+++→+22HBrO Br H HOBr -+++→2 HBrO Br - HOBr 22HOBr Br H Br H O -+++→+2Br MA BrMA Br H -+ +→++32222BrO HBrO H BrO H O -++++342222222BrO Ce H HBrO Ce ++ ++→+Br - 2 HBrO 3Ce + 4Ce + 2 HBrO 232HBrO BrO HOBr H -+ →++BrMA 4Ce + 3Ce + Br - BrMA 4Ce + MA BrMA →Br - 3Ce + 4Ce + Br - BrMA Br - 3Ce +

压控振荡器原理和应用说明

压控振荡器(VCO 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj 随反向偏置电压VT 变化而变化的特点(VT=OV 时Cj 是最大值,一 般变容管VT 落在2V-8V 压间,Cj 呈线性变化,VT 在8-10V 则一般为非线性变化,如图1 所示,VT 在10-20V 时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当 改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO 。 压控振荡器的调谐电压 VT 要针对所要求的产品类别及典型应用环境(例如用户提供调谐要 求,在锁相环使用中泵源提供的输出控制电压范围等 )来选择或设计,不同的压控振荡器, 对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者, VT 选在1-10V ,对宽 频带调谐时,VT 则多选择1-20V 或1-24V 。图1为变容二极管的V — C 特性曲线。 图1变容二极管的V — C 特性曲线 三压控振荡器的基本参数 1工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“ MHZ 或 “GHz 。 2输出功率:在工作频段内输出功率标称值,用 Po 表示。通常单位为“ dBmW 。 3输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△ P 表示,通常 单位为“ dBmW 。 4调谐灵敏度:定义为调谐电压每变化1V 时,引起振荡频率的变化量,用 MHz/ △ VT 表示,在线性区,灵敏度最咼,在非线性区灵敏度降低。 5谐波抑制:定义在测试频点,二次谐波抑制 =10Log (P 基波/P 谐波)(dBmw )。 6推频系数:定义为供电电压每变化1V 时,引起的测试频点振荡频率的变化量,用 MHz/V 表 示。 7相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振 f0为fm 的带内,各杂散能量的总和按fin 平均值+15f0点频谱能量之比,单位为dBC/Hz 相位噪 声特点是频谱能量集中在f0附近,因此fm 越小,相噪测量值就越大,目前测量相噪选定 WV) 0 8 10

压控振荡器(VCO)工作原理

3.15压控振荡器 一.实验目的 1.了解压控振荡器的组成、工作原理。 2.进一步掌握三角波、方波与压控振荡器之间的关系。 3.掌握压控振荡器的基本参数指标及测试方法。 二.设计原理 电压控制振荡器简称为压控振荡器,通常由VCO(V oltage Controlled Oscillator)表示。是一种将电平变换为相应频率的脉冲变换电路,或者说是输出脉冲频率与输入信号电平成比例的电路。它被广泛地应用在自动控制,自动测量与检测等技术领域。 压控振荡器的控制电压可以有不同的输入方式。如用直流电压作为控制电压,电路可制成频率调节十分方便的信号源;用正弦电压作为控制电压,电路就成为调频振荡器;而用锯齿电压作为控制电压,电路将成为扫频振荡器。 压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 反相器 2模 拟 开 关 方波、三角波发生器三角波方波 3-15-1 1.方波、三角波发生器 我们知道,方波的产生有很多种方法,而用运算放大器的非线性应用电路---电压比较器是一种产生方波的最简单的电路之一。而三角波可以通过方波信号积分得到。电路如图3.15.2所示: C 3-15-2

设t=0,Uc=0,Uo 1=+Uz,则Uo=-Uc=0,运放A 1的同相端对地电压为:U+’= 2 12211 R R R U R R R U o z +++ 此时,Uo 1通过R 向C 恒流充电,Uc 线性上升,Uo 线性下降,则U+’下降, 由于运放反相端接地,因此当U+’下降略小于0时,A 1翻转,Uo1跳变为-Uz 见土3.7.2中t=t 1时的波形。根据式3.7.1可知,此时Uo 略小于-R 1×U 2/R 2。 在t=t 1时,Uc=-Uo=R 1×U 2/R 2,Uo1=-Uz.运放A 1的同相端对地电压为: 2 12 211'R R UoR R R UzR U ++ ++ =+ 此时,电容C 恒流放电,Uc 线性下降,Uo 线性上升,则U+’也上升。当U+’ 上升到略大于0时,A 1翻转,Uo 跳变为Uz ,如此周而复始,就可在Uo 端输出幅度为R 1×U 2/R 2的三角波。同时在Uo 1端得到幅度为Uz 的方波。

lc压控振荡器实验报告doc

lc压控振荡器实验报告 篇一:实验2 振荡器实验 实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1. 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2. 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3. 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1. 熟悉振荡器模块各元件及其作用。 2. 进行LC振荡器波段工作研究。 3. 研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4. 测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下, S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振 荡频率。振荡频率可调范围为:

?3.9799?M??f0??? ? ?4.7079?M? CCI?25p CCI? 5p 调节电容CCI,使振荡器的频率约为4.5MHz 。振荡电路反馈系数: F= C1356 ??0.12 C20470 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器RA1,用数字万用表测量R10两端的静态直流电压UEQ(即测量振荡管的发射极对地电压UEQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流IEQ=5.0mA(即调节W1使

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

压控LC电容三点式振荡器设计及仿真

实验二压控LC 电容三点式振荡器设计及仿真 一、实验目的 1、了解和掌握LC 电容三点式振荡器电路组成和工作原理。 2、了解和掌握压控振荡器电路原理。 3、理解电路元件参数对性能指标的影响。 4、熟悉电路分析软件的使用。 二、实验准备 1、学习LC 电容三点式西勒振荡器电路组成和工作原理。 2、学习压控振荡器的工作原理。 3、认真学习附录相关内容,熟悉电路分析软件的基本使用方法。 三、设计要求及主要指标 1、采用电容三点式西勒振荡回路,实现振荡器正常起振,平稳振荡。 2、实现电压控制振荡器频率变化。 3、分析静态工作点,振荡回路各参数影响,变容二极管参数。 4、振荡频率范围:50MHz~70MHz,控制电压范围3~10V。 5、三极管选用MPSH10(特征频率最小为650MHz,最大IC 电流50mA,可 满足频率范围要求),直流电压源12V,变容二极管选用MV209。 四、设计步骤 1、整体电路的设计框图

整个设计分三个部分,主体为LC 振荡电路,在此电路基础上添加压控部分,设计中采用变容二极管MV209 来控制振荡器频率,由于负载会对振荡电路的 频 率产生影响,所以需要添加缓冲器隔离以使振荡电路不受负载影响。 2、LC 振荡器设计 首先应选取满足设计要求的放大管,本设计中采用MPSH10 三极管,其特征频率f T=1000MHz。LC 振荡器的连接方式有很多,但其原理基本一致,本实验中采用电容三点式西勒振荡电路的连接方式,该振荡电路在克拉泼振荡电路的基础上进行了细微的改良,增加了一个与电感L 并联的电容,主要利用其改变频率而不对振荡回路的分压比产生影响的特点。电路图如下所示:

晶体振荡器与压控振荡器

晶体振荡器与压控振荡器 一、实验目的: 1.掌握高频电子电路的基本设计能力及基本调试能力,并在此基础上设计并联变换的晶体正弦波振荡器。 2.比较LC振荡器和晶体振荡器的频率稳定度。 二、实验内容: 1.熟悉振荡器模块各元件及其作用。 2.分析与比较LC振荡器与晶体振荡器的频率稳定度。 3.改变变容二极管的偏置电压,观察振荡器输出频率的变化。 三、基本原理: 1.下图是石英晶体谐振器的等效电路: 图中C0是晶体作为电介质的静电容,其数值一般为几个皮法到几十皮法。L q、C q、r q是对应于机械共振经压电转换而呈现的电参数。r q是机械摩擦和空气阻尼引起的损耗。由图3-1可以看出,晶体振荡器是一串并联的振荡回路,其串联谐振频率f q和并联谐振频率f0分别为 f q=1/2πLqCq,f0= f q Co 1 Cq/ 图1 晶体振荡器的等效电路 当W<W q或W> W o时,晶体谐振器显容性;当W在W q和W o之间,晶体谐振器等效为一电感,而且为一数值巨大的非线性电感。由于Lq很大,即使在W q处其电抗变化率也很大。其电抗特性曲线如图所示。实际应用中晶体工作于W q~W o之间的频率,因而呈现感性。

图2 晶体的电抗特性曲线 设计内容及要求 2 并联型晶体振荡器 图3 c-b型并联晶体振荡器电路 图 4 皮尔斯原理电路图 5 交流等效电路

C3用来微调电路的振荡频率,使其工作在石英谐振器的标称频率上,C1、C2、C3串联组成石英晶体谐振器的负载电容C L上,其值为 C L=C1C2C3/(C1C2+C2C3+C1C3) C q/ (C0+C L)<<1 3.电路的选择: 晶体振荡电路中,与一般LC振荡器的振荡原理相同,只是把晶体置于反馈网络的振荡电路之中,作为一感性元件,与其他回路元件一起按照三端电路的基本准则组成三端振荡器。根据实际常用的两种类型,电感三点式和电容三点式。由于石英晶体存在感性和容性之分,且在感性容性之间有一条极陡峭的感抗曲线,而振荡器又被限定在此频率范围内工作。该电抗曲线对频率有极大的变化速度,亦即石英晶体在这频率范围内具有极陡峭的相频特性曲线。所以它具有很高的稳频能力,或者说具有很高的电感补偿能力。因此选用c-b型皮尔斯电路进行制作。 图 6 工作电路 4.选择晶体管和石英晶体 根据设计要求,

时基电路构成的压控振荡器

555时基电路构成的压控振荡器 摘要:555电路是集模拟电路和数字电路于一体的集成电路,是在上世纪70年代,为制作定时器而被设计制造的。该电路具有灵活的引出端脚,使用者尽用其能,将其广泛运用于电子行业的各个领域内,并且该电路在科研、仪表、测量、控制等诸多领域内也得到了广泛的应用。本文主要从原理和应用两个方面讲述由555无稳态多谐振荡器电路构成的压控振荡器。 关键词: 1、引言 如今,555时基电路得到如此广泛的应用,这得益于该电路本身独特的优越性。按照555电路的应用特点,以数字电路的分类方法作为基本方式,可将其分为:多谐振荡器的应用方式、单稳态电路的应用方式、双稳态(R-S触发器)电路的应用方式以及施密特电路的应用方式。本文要讨论的压控振荡器是一种结构特殊的多谐振荡器,全称为电压控制的多谐振荡器,简称VCO。由555电路构成的压控振荡器具有电路简单、成本低、产生脉冲波形的线性度好等特点,因此压控振荡器电路在锁相技术、A/D转换、脉冲调制及遥测技术中有广泛的用途,是一种十分重要的电路。. 2、555电路原理图]1[ 图1、原理电路图

整个原理电路图有5个部分组成,这5个部分可以分为三大部分进行解释:(1)分压器与比较器 三个等值电阻(每个5KΩ)串联进行分压,将电源电压分别分压为U CC/3和2U CC/3。其中2U CC/3加至电压比较器A1的同相输入端,作为它的参考电压;U CC/加之电压比较器A2的反相输入端,作为它的参考电压。A1、A2是由两个差分电路组成的电压比较器,相当于两个运算放大器的输入电路。这两个参考电压决定了555电路的输入特性。 上述原理电路图有两个输入端,分别称为触发端(TR、2脚)和阀值端(TH、6脚),它们分别是A2的同相输入端和A1的反相输入端。根据电压比较器的工作原理:当对输入端2脚上加上低于U CC/3的输入电压时,比较器A2输出低电平;当加上高于U CC/3的输入电压时,A2输出高电平。对于输入端6脚,当对其加上低于2U CC/3的输入电压时,A1输出高电平;当对其加上高于2U CC/3的输入电压时,A1输出低电平。 (2)基本R-S触发器]1[ 在数字电路中,触发器分为同步R-S触发器和基本R-S触发器,555电路中使用 是基本R-S触发器。这种触发器由两个非门交叉连接组成,它的特点是需要低电平触发,即只有在输入端加以低电平或负脉冲,触发器才能翻转。 它的逻辑功能是:当R=0,S=1时,不管触发器原来是什么状态,都会被置成低电平0的状态;当R=1,S=0时,触发器被置成高电平1的状态;当R=1,S=1时,触发器保持原状态不变;当R=0,S=0时,触发器的状态不定,不过这种状态是不允许出现的,也是不可能出现的。 (3)输出级]2[ 为了提高555电路带负载的能力,使其能够直接驱动一定功率的负载,并且隔离负载对定时器的影响,在它的R-S触发器之后加入了一级输出级G3。该输出级G3将R-S 触发器的输出电平进行反相,并同时给予一定的功率放大后输出,这就使得555电路可以直接驱动小型继电器、扬声器等。 (4)放电电子开关]3[ 在由555电路组成的定时定路及各类触发器和振荡器中,它们的工作状态都和电容器的充、放电有关。例如在定时电路中,通常把上比较器的输入端TH(6脚)接到只电容C的正极。这个电容又通过一只串联电阻R接到电源的正极。工作时,电源通过电阻R向电容C充电,当电容充电使其电压达到阀值电平后,比较器A1输出低电平,触发器R-S翻转,它的输出端变为高电平,经过一级反相器反相为低电平后作为一种控制信号输出,实现对电路的一种工作状态的控制。 ( 5 ) 555定时器的基本功能]4[ ①R=0,无论其他输入为何值(用×表示),必有Q=1,U O为低电平0,T D饱和导通,故R端称为置0端或复位端。 ②R=1,U TH>2U CC/3、U TR>U CC/3时,U O1为低电平,U O2为高电平,使Q=1、

压控振荡器原理和应用说明

压控振荡器(VCO) 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj随反向偏置电压VT变化而变化的特点(VT=0V时Cj是最大值,一般变容管VT落在2V-8V压间,Cj呈线性变化,VT在8-10V则一般为非线性变化,如图1所示,VT在10-20V时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO)。压控振荡器的调谐电压VT要针对所要求的产品类别及典型应用环境(例如用户提供调谐要求,在锁相环使用中泵源提供的输出控制电压范围等)来选择或设计,不同的压控振荡器,对调谐电压VT有不同的要求,一般而言,对调谐线性有较高要求者,VT选在1-10V,对宽频带调谐时,VT则多选择1-20V或1-24V。图1为变容二极管的V-C特性曲线。 (V) T 图1变容二极管的V-C特性曲线 三压控振荡器的基本参数 1 工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“MHz”或 “GHz”。 2 输出功率:在工作频段内输出功率标称值,用Po表示。通常单位为“dBmw”。 3 输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△P表示,通常 单位为“dBmw”。 4 调谐灵敏度:定义为调谐电压每变化1V时,引起振荡频率的变化量,用MHz/ △VT 表示,在线性区,灵敏度最高,在非线性区灵敏度降低。 5 谐波抑制:定义在测试频点,二次谐波抑制=10Log(P基波/P谐波)(dBmw)。 6 推频系数:定义为供电电压每变化1V时,引起的测试频点振荡频率的变化量,用MHz/V表示。 7 相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振f0为fm 的带内,各杂散能量的总和按fin平均值+15f0点频谱能量之比,单位为dBC/Hz;相位噪 声特点是频谱能量集中在f0附近,因此fm越小,相噪测量值就越大,目前测量相噪选定

RC振荡电路实验报告(特选资料)

广州大学学生实验报告 院(系)名称 物理与信息工程系 班别 姓名 专业名称 学号 实验课程名称 模拟电路实验 实验项目名称 RC 串并联网络(文氏桥)振荡器 实验时间 实验地点 实验成绩 指导老师签名 【实验目的】 1.进一步学习RC 正弦波振荡器的组成及其振荡条件。 2.学会测量、调试振荡器。 【实验原理】 从结构上看,正弦波振荡器是没有输入信号的,带选频网络的正反馈放大器。若用R 、C 元件组成选频网络,就称为RC 振荡器, 一般用来产生1Hz ~1MHz 的低频信号。 RC 串并联网络(文氏桥)振荡器 电路型式如图6-1所示。 振荡频率 RC 21 f O π= 起振条件 |A &|>3 电路特点:可方便地连续改变振荡频率,便于加负反馈稳幅,容易得到良好的振荡波形。 图6-1 RC 串并联网络振荡器原理图 注:本实验采用两级共射极分立元件放大器组成RC 正弦波振荡器。 【实验仪器与材料】 模拟电路实验箱 双踪示波器 函数信号发生器 交流毫伏表 万用电表 连接线若干

【实验内容及步骤】 1.RC 串并联选频网络振荡器 (1)按图6-2组接线路 图6-2 RC 串并联选频网络振荡器 (2)接通RC 串并联网络,调节R f 并使电路起振,用示波器观测输出电压u O 波形,再细调节R f ,使获得满意的正弦信号,记录波形及其参数,即,测量振荡频率,周期并与计算值进行比较。 (3) 断开RC 串并联网络,保持R f 不变,测量放大器静态工作点,电压放大倍数。 (4)断开RC 串并联网络,测量放大器静态工作点及电压放大倍数。(输入小信号:f=1KHz,峰峰值为100mV 正弦波)用毫伏表测量u i 、u 0 就可以计算出电路的放大倍数。 (5)改变R 或C 值,观察振荡频率变化情况。 将RC 串并联网络与放大器断开,用函数信号发生器的正弦信号注入RC 串并联网络,保持输入信号的幅度不变(约3V ),频率由低到高变化,RC 串并联网络输出幅值将随之变化,当信号源达某一频率时,RC 串并联网络的输出将达最大值(约1V 左右)。且输入、输出同相位,此时信号源频率为 2πRC 1 f f ο== 【实验数据整理与归纳】 (1)静态工作点测量 U B (V ) U E (V ) U C (V) 第一级 2.48 2.96 4.66 第二级 0.84 11.51 1.01 (2)电压放大倍数测量: u i (mV) u o (V) Av 788 2.80 3.60

基于Multisim11的压控振荡电路仿真设计

分类号 密级 基于Multisim11的压控振荡电路仿真设计 所在学院机械与电气工程学院 专业电气工程及其自动化 班级 姓名 学号 指导老师 年月日 诚信承诺

我谨在此承诺:本人所写的毕业论文《基于Multisim11的压控振荡电路仿真设计》均系本人独立完成,没有抄袭行为,凡涉及其他作者的观点和材料,均作了注释,若有不实,后果由本人承担。 承诺人(签名): 年月日

摘要 Multisim是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于初级的模拟及数字电路板的设计工作,Multisim不仅具有丰富的仿真分析能力,而且还包含了电路原理图的图形输入及电路硬件描述语言的输入方式。有了Multisim软件就相当于有了一个电子实验室,可以非常方便的从事各种电路设计及仿真分析工作。 随着无线通信技术的快速发展,使得市场对压控振荡电路产生了巨大的需求。压控振荡器是通过调节可变电阻或电容可以改变波形的振荡频率,一般是通过人工来调节的。而在自动控制场合往往要求能自动地调节振荡频率。常见的情况是给出一个控制电压,要求输出波形的振荡频率与控制电压成正比。这种电路称为压控振荡器。 本次设计的内容是基于Multisim11的压控振荡电路仿真设计,阐述了压控振荡器的电路原理以及组成结构。本次设计是采用集成运算放大器741芯片组成的滞回电压比较器和反向积分电路,利用二极管1N4148相当于电子开关的功能,控制电容的充放电时间,构成的压控振荡电路,从而实现输入电压对输出频率变化的控制。只要改变输入端的电压,就可以改变输出端的输出频率。并在电路设计与仿真平台Multisim11仿真环境中创建集成压控振荡器电路模块,进而使用Multisim仿真工具对其进行仿真从而达到设计的目的和要求。 关键词:Multisim,压控振荡器,1N4148

VCO压控振荡器实验报告

VCO压控振荡器实验报告 目录章节 设计要求及方案选择 (2) 框内电路设计(EWB仿真) (5) 总电路叙述 (10) 器件表 (12) 总电路图 (13) 问题及修改方案 (13) 体会 (14) 参考书目及文献资料 (17) 附录:总电路图 (17)

设计要求及方案选择 1.设计内容 V/F转换(VCO压控振荡器) 2. 设计要求 输入0—10V电压,输出0—20KHz脉冲波(或者0—10KHz 对称方波)。绝对误差在正负30Hz以内。 3. 设计方案 (1)RC压控振荡器

(2)双D触发器式的VCO电路 图片来源CIC中国IC网 如图所示为双D触发器式的VCO。电路输出一个占空比50%的方波信号,而消耗的电流却很小。当输入电压为5~12V 时,输出频率范围从20~70kHz。首先假设IC-A的初始状态是Q=低电平。此时VDl被关断,Vi通过Rl向Cl充电。当Cl 上的电压达到一定电平时,IC-A被强制翻转,其Q输出端变成高电平,Cl通过VDl放电。同时,IC-A的CL输入端也将变成低电平,强制IC-A再翻回到Q=低电平。由于R2和C2的延时作用,保证了在IC-A返回到Q为低电平以前,把Cl的电放掉。IC-A输出的窄脉冲电流触发IC-B,产生一个占空比为50%的输出脉冲信号。

(3)具有三角波和方波输出的压控振荡器 图片来源CIC中国IC网 如图所示为具有三角波和方波输出的压控振荡电路。该电路是一个受控制电压控制的振荡器。它具有很好的稳定性和极好的线性,并且有较宽的频率范围。电路有两个输出端,一个是方波输出端,另一个为三角波输出端。图中,A1为倒相器,A2为积分器,A3为比较器。场效应管Q1用来变换积分方向。比较器的基准电压是由稳压二极管D1、D2提供,积分器的输出和基准电压进行比较产生方波输出。电阻R5、R6用来降低Q1的漏极电压,以保证大输入信号时Q1能完全截止。电阻R7、R8和二极管D3、D4是为了防止A3发生阻塞。

555仿真实验报告-多谐振荡器

仿真实验课程名称:数字电子技术实验仿真 仿真实验项目名称:基于555定时器的多谐振荡器的设计 仿真类型(填■):(基础□、综合□、设计■) 院系:物理与机电工程学院专业班级:13电子(2)班 姓名:学号: 指导老师:刘堃完成时间:成绩: 一、实验目的 1、熟悉555集成时基电路的电路结构、工作原理及其特点;掌握555集成时基电路的基本应用。 2、掌握Multisim10软件在数字电子技术实验中的应用。

二、实验设备 Multisim10软件。 三、实验原理 (1)555定时器 集成芯片555是一种能够产生时间延迟和多种脉冲信号的控制电路,是数字、模拟混合型的中规模集成电路。芯片引脚排列如图1所示,内部电路如图2所示。电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,广泛应用于信号的产生、变换、控制与检测。它的内部电压标准使用了三个5 k Ω的电阻,故取名555电路。电路类型有双极型和CMOS 型两大类,两者的工作原理和结构相似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS 产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器,556和7556是双定时器。双极型的555电路电源电压为+5 V ~ +15 V ,输出的最大电流可达200 mA ;CMOS 型的电源电压是+3 V~+18 V 。 555内部电路有两个电压比较器、基本RS 触发器和放电开关管T 。比较器的参考电压由三只5 k Ω的电阻分压提供,比较器A 1同相端参考电平为CC V 3 2、比较器A 2的反相端参考电平为CC V 31。A 1和A 2的输出端控制RS 触发器状态和放电管开关状态。当输入信号超出CC V 3 2时,比较器A 1翻转,触发器复位,555的输出端○ 3脚输出低电平,开关管导通,电路充电。当输入信号低于CC V 3 1时,比较器A 2翻转,触发器置位,开关管截止,电路放电,555的○3脚输出高电平。 D R 是复位端,当其为0时,555输出低电平。应用时通常开路或接V CC 。 ○5脚是控制电压端,平时输出CC V 3 2作为比较器A 1的参考电平,当○5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个μF 的电容器至地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。 T 为放电管,当T 导通时,经过脚○ 7至电容器,提供低阻放电电路。 (2)555定时器构成多谐振荡器 如图3,由555定时器和外接元件R 1、R 2、C 构成多谐振荡器,脚○ 2与脚○6直接相连。 图1 555芯片引脚排列图 图2 555定时器内部电路

压控LC振荡器

2003年全国大学生电子设计大赛 设计报告 设计者:李永彬王萍宋均雷 赛前辅导老师:姚福安万鹏 单位:山东大学控制科学与工程学院 邮编:250061 A题电压控制LC正弦波振荡器 摘要 本系统由LC振荡电路、高频放大电路、采样保持电路、三位半电压显示模块、CPLD控制模块及四位LED显示模块等构成。本设计的特色在于应用变容二极管实现了压控变频及应用可编程逻辑器件实现了频率测量。 Abstract This system includes LC frequency generator, the sampling-holding circuit, controlled by the CPLD. This can realize that the function that change the frequency step by step. To display the outcome, the model applied in. 1. 方案论证及实现 根据压控LC震荡器题目的要求,提出以下两种方案:

1.方案一:变压器反馈式LC振荡器 变压器反馈式LC震荡电路要使用变压器,其体积和重量都比较大。而且,变压器的铁芯容易产生电磁干扰。 2.方案二:电感三点式振荡电路 电感三点式振荡电路电路反馈电压取自电感,而电感对高次谐波的阻抗较大,不能将高次谐波滤掉,因此输出波形中含有较多的高次谐波分量,波形较差,而且频率稳定度不高 3.方案三:电容三点式震荡电路 电容三点式振荡器的电路反馈电压取自电容,其对高次谐波的阻抗较较小,因此反馈电压中的高次谐波分量较小,波形较好。为达到题目要求实现压控,可采用变容二极管组成电容三点式振荡器。由于制版条件有限,不可能有效克服分布参数干扰的影响,但此方案仍为实现题目

模拟锁相环实验报告

实验十四模拟锁相环实验 一、实验目的 1、了解用锁相环构成的调频波解调原理。 2、学习用集成锁相环构成的锁相解调电路。 二、实验容 1、掌握锁相环锁相原理。 2、掌握同步带和捕捉带的测量。 三、实验仪器 1、1号模块1块 2、6号模块1块 3、5号模块1块 4、双踪示波器1台 四、锁相环的构成及工作原理 1、锁相环路的基本组成 锁相环由三部分组成,如图14-1所示,它由相位比较器PD、低通滤波器LF、压控振荡器VCO三个部分组成一个闭合环路,输入信号为V i(t),输出信号为V0(t),反馈至输入端。下面逐一说明基本部件的作用。 图14-1 锁相环组成框图 一、压控振荡器(VCO) VCO是本控制系统的控制对象,被控参数通常是其振荡频率,控制信号为加在VCO上的电压,故称为压控振荡器,也就是一个电压-频率变换器,实际上还有一种电流-频率变换器,但习惯上仍称为压控振荡器。 二、鉴相器(PD)

PD 是一个相位比较装置,用来检测输出信号V 0(t)与输入信号V i (t)之间的相位差θe (t),并把θe (t)转化为电压V d (t)输出,V d (t)称为误差电压,通常V d (t)作为一直流分量或一低频交流量。 三、环路滤波器(LF ) LF 作为一低通滤波电路,其作用是滤除因PD 的非线性而在V d (t)中产生的无用的组合频率分量及干扰,产生一个只反映θe (t)大小的控制信号V e (t)。 按照反馈控制原理,如果由于某种原因使VCO 的频率发生变化使得与输入频率不相等,这必将使V 0(t)与V i (t)的相位差θe (t)发生变化,该相位差经过PD 转换成误差电压V d (t),此误差电压经LF 滤波后得到V c (t),由V c (t)去改变VCO 的振荡频率使趋近于输入信号的频率,最后达到相等。环路达到最后的这种状态就称为锁定状态,当然由于控制信号正比于相位差,即 )()(t t V e d θ∝ 因此在锁定状态,θe (t)不可能为零,换言之在锁定状态V 0(t)与V i (t)仍存在相位差。 2、 锁相环锁相原理 锁相环是一种以消除频率误差为目的的反馈控制电路,它的基本原理是利用相位误差电压去消除频率误差,所以当电路达到平衡状态后,虽然有剩余相位误差存在,但频率误差可以降低到零,从而实现无频差的频率跟踪和相位跟踪。 当调频信号没有频偏时,若压控振荡器的频率与外来载波信号频率有差异时,通过相位比较器输出一个误差电压。这个误差电压的频率较低,经过低通滤波器滤去所含的高频成份,再去控制压控振荡器,使振荡频率趋近于外来载波信号频率,于是误差越来越小,直至压控振荡频率和外来信号一样,压控振荡器的频率被锁定在与外来信号相同的频率上,环路处于锁定状态。 当调频信号有频偏时,和原来稳定在载波中心频率上的压控振荡器相位比较的结果,相位比较器输出一个误差电压,如图14-2,以使压控振荡器向外来信号的频率靠近。由于压控振荡器始终想要和外来信号的频率锁定,为达到锁定的条件,相位比较器和低通滤波器向压控振荡器输出的误差电压必须随外来信号的载波频率偏移的变化而变化。也就是说这个误差控制信号就是一个随调制信号频率而变化的解调信号,即实现了鉴频。

LC压控振荡器课程设计(含程序)

LC压控振荡器课程设计(含程序)武汉理工大学《学科基础课群课设》 摘要 本设计是一个功能完善,性能优良的高频VCO(Voltage Control Oscillation)。主 振器由分立元件组成。电压对频率的控制是通过变容二极管来实现的。即通过改变变容 二极管的反向压降,从而改变变容二极管的结电容,继而改变振荡频率。系统的输出频 ,3率范围为10MHz—40MHz。频率稳定度在以上。设计以单片机为控制核心,实现频10 率和电压值的实时测量及显示并控制频率步进,步进有粗调和细调的功能。粗调可实现 较大步进值调节,是调可实现较小步进值调节。该功能使得频率的准确定位十分方便。 本电路在调频部分为提高输出频率精度,采用单片机控制主振器参数,根据产生不同的 频率范围控制不同的主振器参数而达到提高精度和稳定度的目的。为了高频信号的良好 传输,本设计的部分电路板采用了人工刻板使得本设计更加特色鲜明,性能优良。 关键字:VCO 单片机变容二极管 ADC0804 Abstract

This design is a high frequency VCO with comprehensive and perfect function. The main vibrator is made up of several separable components. Voltage control on the frequency is realized by way of varicap diode. That, changing the reverse voltage of diode can adjust the frequency. The frequency of the apparatus can output from 10MHz to 40MHz, and its I 武汉理工大学《学科基础课群课设》 ,3frequency stability can reach .This design uses a single-chip as control core to measure 10 and display the frequency and voltage and regulate frequency. The frequency adjustment includes two procedures -approximate adjusting and slight adjusting, The slight adjusting can realize the precise frequency output. In order to change the precision of frequency to output, the circuit control the main vibrator with a single-chip. In order go gain what we to. we can change the different parameters of the main vibrator. In addition, Some part of the design wield arterial pattern plate. It nape the circuit mare perfect. Key words: VCO MCU DIODE ADC0804 目录 1. 系统设计 (1) 1.1 设计要求 (1)

相关文档
最新文档