8路彩灯控制器

8路彩灯控制器
8路彩灯控制器

节日彩灯控制器

摘要:本设计以ICCD40194和ICCD4069和IC4071,芯片实现,提出一种手动彩灯控制器,它的主要元件均采用CMOS数字电路,驱动部分采用交流固态继电器,因此是有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。

一、前言:按现今生活的起可,市场上为能吸取顾客的注意;搞出各式各样的方法,其中彩灯的装饰便是一种非常普遍的一种,即可起装饰宣传作用,又可以供托起现场气氛,本设计的彩灯确能成为现实的一种,但技术上日后将会有更大的改善和提高。

关键词:CD40194、CD4069、CD4071、方框图

二、概述:

彩灯控制器能够使彩灯控照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用。彩灯控制器多种多样,本设计的这台彩灯控制器主要功能下:

①、彩灯点亮方式既可以向左(逆时针)移动,也可以向右(顺时针)移动,逐可以左右交替移动。

②、起始状态可以预置。

③、移动速度和左右交替速度均可调节。

④、控制电路与负载完全隔离。

三、电路

图1所示为彩灯控制器电路图。它的主要元器件均彩CMOS数字电路,驱动部分采用晶体管VT,因此具有电路简洁、工作可靠、控制形式多样,使用安全

方便的特点。

3.1电路功能结构组成

整机电路包括以下功能单元:整机的核心是CD40194两个级联组成的8位双向移位寄存器,它控制8路彩灯按一定规律闪亮。

(1) S1、S2、SB组成的预置数控制电路,它控制8位移存器的初始状态,即8路彩灯的起始状态。

(2) D5、D6等组成的时钟振荡器,它为移位寄存器提供工作时钟脉冲。

(3) D3、D4、S3等组成的移动方向控制电路,它控制移位寄存器作左移、右移或左右交替移动。

(4) VT1~VT8以及SSR1~SSR8组成的8路驱动执行电路,它在移位寄存器输出状态的控制下,驱动8路彩灯H1~H8分别点亮或熄灭。

(5)IC7806稳压电源,它为控制电路提供+6V工作电源(在实际实物电路中为提供本电路直接用6V电源。

.

3.2整机简要工作原理。

IC1和IC2级联组成8位双向移位寄存器,在D5、D6产生的时钟脉冲CP 的作用下循环移位运动,如图3所示。双向移存器的8个输出端Q1~Q8分别经缓冲晶体管VT1~VT8控制8个发光二极管H1~H8。当某Q端为”1” 时,与该Q端对应的VT接通相应的彩灯H的电源,使其点亮。当某Q端为“0”时,对应的VT截止切断相应彩灯H的电源而熄灭。由于Q1~Q8的状态在CP作用下不停地移位,所以点亮的彩灯便在H1~H8中循环流动起来。

彩灯的初始状态由S1和S2预置,预置好后按一下SB将预置数输入,其输出端Q1~Q8的状态(也就是彩灯H1~H8点亮的情况)而等于预置数,而后在CP的作用下移动。彩灯移动的方向由S3控制,也可以选择“左移”、“右移”或“左右交替。”

四、门电路多谐振荡器

多谐振荡电路不仅可以由晶体管或NE555时基电路组成,而且也可以用两个CMOS门电路组成(如图4),CMOS门电路输入高电阻抗高、更容易获得较大的时间常数,尤其适用于低频时钟振荡电路,在图中所示中,D5、D6等组成多谐振荡器,产生秒信号脉冲,振荡周期T=0.2s~6s(R调节),如下图所示,当B点由“0”变为“1”时,由于电容器C3、C4(两个电解电容反极性相接构成一个无极性大电容)的两端电压不能突变,C点也为“1”,普通R

使D5输

A

使D1输入端为入端为“1”。随着C1、C2的充放电,C点也为“1”,普通R

A

“1”。随着C1、C2的充放电,C点电位逐渐下降,当降至CMOS门电路的阀值(约3V)此循环形成振蒎,振荡周期

T=2.2(RB+RP)*C=SRA

R2是补偿电阻,可提高振荡频率的稳定度。

五、控制电路

控制电路包括预置电路、移动方向控制电路和移动速度控制电路等。

5.1预置数控制电路

预置数控制电路由两个四位地址开关S1、S2和按钮开关SB组成,用于设置移存器的初始状态,即彩灯的起始状态。每个地址开关中包含四只开关,图1所示电路图中的接法,开关闭合时为“1”,开关断开时为“0”,可根据要求设置。移存器的两个状态控制端ST1、ST2分别由或门D1、D2控制。当按下预置数按钮开关SB时,“1”电平(+6V)加至D1、D2输入端,D1、D2输出均为“1”,使ST1、ST2=“11”,设置好的预数并行进入移存器,如图5所示。

例如,设置P1~P8为“111001100”,按下SB时,Q1~Q8便成为“111001100”,H1、H2、H3、H6、H7亮,H4、H5、H8灭。当松开SB时,

ST1、ST2≠“11”,移存器便在CP作用下使预状态移动。

5.2移动方向控制电路

前面已介绍过,移存器移动方向由ST1、ST2的状态决定。为了实现左右交替移动。电路设计了一个由反相器D3、D4等组成的超低频多谐振荡器,并由选择开关S3控制。

①、当S3将D3输入端接地时,多谐振荡器停振,使ST1、ST2状态呈“10”,移动器右移,如图6(a)所示。

②、当S3将D3输入端接+6V时,多谐振荡器仍停振,但不同的是ST1、ST2状态为“01”,移存器左移,如图6(b)所示。

③、当S3悬空时,多谐振荡器振,使ST1、ST2状态在“01”和“10”之间来回变化,移存器便左移与右移交替进行。电位器RP用于调解振荡周期、改变左右移动的交替时间,效替时间可在2.5秒至7.5秒左右的范围内选择。

C3、C4两电解电容反向串联,等效为一个无极性电容。

D3、D4的输出端分别经或门D1、D2到ST1、ST2端,当SB按下时,D1、D2输出均为“1”此时预置数输入。

图6 移动方向示意图

5.3移动速度控制电路

双向移存器在时钟脉冲CP作用下工作,时钟频率的高低决定了移存器的移动速度,时钟脉冲由反相器组成(具体介绍在第四节)。

六、双向移位寄存器

8位双向移位寄存器由IC1、和IC2级联组成,如图7所示。IC1、IC2均可采用4位双向通用移寄存器集成电路CD40194,其性能较强,即可以左移,也可以右移;即可以串行输入,也可以并行输出;即可以串行输出,也可以并行输出。CD40194有四个输出端Q~Q4;有四个并行数据输入商P1~P4,一个左移串行数据输入端DL和一个右移串行数据输入端DR;还有2个状态控制端ST1、ST2=“01”时,预置数并行输入移存器。

将两CD40194级联即可组成8位移位移位寄存器,电路中,IC1的第一位输出端(Q1)接IC2的左右移串行数据输入端DL,IC1的第四位输出端(Q4)接IC2的右移串行数据输入端DR、IC2的第一位输出端DR,两片CD40194的CP、ST1和ST2并联即可。右移时数据按Q1→Q2→Q3→Q4→Q5→Q6→Q7→Q8的方向移动,Q8的信号又经右移串行数据输入端DR输入到Q1,形成循环。左移时,数据按Q8←Q7←Q6←Q5←Q4←Q3←Q2←Q1的方向移动,Q1的信号又经左移串行数据输入端DL输入到Q8,形成循环。图8所示为循环移位示意图。

七:组装及调试

组装前准备:先备好所需工具(电烙铁、各元器件、万能表等)并检查元件有无损坏(本机元件可用万能表测出性能)准备工作完成后,开始捍接工作。

7.1振荡电路

先将振电路捍好,本电路采用二个门电路起振(D5、D6)电容参数为

C5+C6=9.4u,各部分按电路图接法捍好。然后用一个+6V直流稳压源直接到

CD4069电源端,用一只发光二极管正极接到振荡电路输出端(D6非端),负极接地,此时二极管将会一闪一闪,此时为正常现象,再调一下RP2,二极管的闪烁频率就会随调节而改变频率。如果没有以上现象,查看RP2引脚有没有捍错和虚捍现象,直至电路达到上述效果为止。此时振荡电路接近装调工作。

7.2方向控制电路

此电路较为简单,但突为重要,组装时应特别注意,这是本机功能控制的关健部位。

本电路由CD4069的二个反相器(D3、D4)和CD4071中的二个或门(D1、D2)等组成。先捍好电容和电阻后,检查会不会起振,检查方法如同振荡控制电路。再将其与D1D2连接好,用万能表测D1、D2的输出端(即ST1、ST2)端是否如图6所示相互变换,即(ST1和ST2)的值会不会随S3开头变化而达到停止振荡和起振,特别S3拔至悬空脚时,二个数据会不会交替变换。这用二个发光二极管代替表等效果更为显著,并调动RP1二个二极管变换时是否与RP1的变化而变化,如不会显示上述效果,检查方法与振荡电器一样,直至达到预设效果后,此电路完成组装工作。

7.3预置电路

此电路相对简单,接电路的接法接好后,先放置不理,因为此电路要全电路捍接后才能有明显效果作用,调试也比较方便。

7.4驱动显示电路:

驱动显示电路主要由晶体管VT(9014)、固态继电器SSR和发光二极管代替彩灯H(文内便用H代称)组成:先按电路的接法捍接后,用能表(×10档)黑表笔接各VT的基极,红表笔接H的负极,此时H亮,说明正常,红表笔接C极,黑表笔接e极,H会亮,正常,各个三极管接上二个方法依次测试。如果出现问题(H不亮)要检查电路的接法和捍接是否虚捍,直至达到上述现象,此电路组装工作将近完成,并后并将e极依将接到双位移寄存器(用二块CD40194级组成)的Q1~Q8端(此检测法是按三极管持性和电路图连接所得出的)。

7.5移位电路

此电路由CD40194两块晶片级组成,是本机电路的核心;捍接只需按电路

图接好,并和预置电路连接装一个SB控制开头和装上一个6V稳压晶片

(7806)使整机稳定性提高。

接好后通电,在S1、S2预置你喜欢的初始状态,按SB、H1~H8会同S1、

S2所预置的状态相同(详情参考图5)松开键位SB,H1~H8便开始循环点亮。

说明预电路和移位电路正常。如无法达到以上功能,检查线路是否接对和虚捍

问题,较对修改后仍无反应,万能表测7806 3脚电源是否正常,不正常。则

捍脱7806的3脚,用万能表测电压是否正常(+6V),正常说明无问题,可能

是把各式各集成块的电源极性接错,或某处短路,认真再检查几遍,如果仍不

正常则只能更换CD40194(此障碍占极少数,只有极性接错后才会导致烧,否

则不会双烧坏,此点最为重点)。

7.6整机调试和控制

全电路连接好后,通电让彩灯点亮,调节变速电路(振荡电路)开关,按

SB,为是否达到预置状态。再拔动S3(交替、右移、左移)等位是否彩灯随之

变化,说明正常;最后拔到交替端(悬空端)调节RP1交替的周期是否随调节

变化而变化,会则正常(此时最好在调电路中调到最快时,效果会比较明wwww z显)。电路达到上述工作后说明整机捍接成功。

如果中途遇到了不同的问题,就按故障的类型而去检测相关电路,为是否

接错和捍错元件。也有可能电路板捍后下的锡粒所导致而成。

八、主要故障和检修方法

表格一:

九、电路优点与缺点

优点:可操作性高,性能稳定;能由多种闪亮功能,并能随意预置闪亮灯数目。

缺点:实用性低,价格比较贵,体积旁大,不能供应大功率灯炮,放置麻烦,需人工操作变幻。要用到大的实用中需改善。

十、电路改善及扩展

本机主要缺点是只能控制低压彩灯,功率微低,难以烘托气氛实用性大大跌价,如图9为改善后的电路功能:

本机接了固态继电器SSR后,可以控制8路彩灯或彩灯串。交流固态继电器内部采用光耦合器传递控制信号,双向可近硅作为控制元件,如图10,具有完全的电隔离性能,以第一路为例:当Q=“1”时,信号经R1两端输出端导通,彩灯亮。当Q=“0”时,VT截止,SSR1因无控制电压其两端亦截,彩灯H1灭。由于彩交流固态继电器驱动彩灯,使得控制电路与交流电220V供电完全隔离,十分安全,同时新彩灯控制器接交流220V供电的两接线端不必区分相线与零碎线,使用方便。

交流固态继电器SSR控制的每一段路彩灯H,可以是若干个灯泡,也可以是若干串彩灯串,但其功率应在600W以内,如需增大功率,应改控制更大的交流固态继电器。

十一、参考文献:

门宏编者,人民邮电出版社,《无线电路图快速入门》

16路循环彩灯控制器

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:16路循环彩灯控制器 专业:本11通信01班 学生姓名: 周世闻学号:20114400123 起迄日期: 2013年12月9日~2013年12月20日指导教师:黄智伟 教研室主任:王彦

课程设计目录 一、内容摘要及关键词 (3) 二、课程设计任务书 (5) 三、课程设计方案及结构框图 (7) 四、课程设计原理 (8) 五、各单元电路的设计、计算及说明 (8) 六、课题整体电路图 (13) 七、芯片功能及其原理介绍 (14) 八、所用元器件清单 (24) 九、课程设计的心得体会 (25) 十、参考文献 (26)

摘要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。 此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下:第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供; 第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。 而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。 关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、控制器、原理。

8路彩灯控制器说课讲解

8路彩灯控制器

8路彩灯控制器 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。 二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求

1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。这样设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

8路炫光彩灯控制器

炫光彩灯控制器 (做一份课设不容易,我就收点文库币,小小慰问一下自己) 学院:信息工程学院 班级:测控0901班 姓名:严海俊 学号:200901420 同组人:王滨 指导老师: 2012年11月30日

炫光彩灯控制器课程设计 一、设计目的 1.熟悉常用芯片的性能及用途。 2.进一步掌握数字电路课程所学的理论知识,对所学理论的巩固及验,进一步的学习。 3.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 4.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 5.培养认真严谨的工作作风和实事求是的工作态度,检验自己实践的能力,解决问题的能力及现有知识基础上的创新, 为日后走上工作摸索经验。 二、设计原理 设计一个电路控制彩灯的循环显示,要求八个二极管排成一行,二极管依次亮。 8路炫光彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏。即依次流向第八盏灯,然后全部熄灭,反复循环这一过程。 三、方案论证: 方案一:原理框图如图1所示。 其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。 其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。 方案二:基本实际思路不变,采用74LS160芯片,但是其输出信

循环彩灯控制器

目录 1设计目的及任务 (3) 1.1设计目的 (3) 1.2设计任务 (3) 2. QUARTUS II软件简介 (3) 3 EDA技术 (4) 4设计原理 (4) 4.1设计分析 (4) 4.2循环彩灯控制器整体设计 (5) 4.3程序设计框图 (7) 4.4彩灯控制器模块的程序设计及仿真 (7) 4.4.1VHDL源程序 (8) 4.4.2仿真波形 (9) 4.5结果分析和总结 (9) 5心得体会 (10) 参考文献 (11)

摘要 现在各大中城市商店都已普及彩灯装饰,所彩灯控制技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的彩灯装饰行业也将加速发展,彩灯控制器的普及也是毫无疑问的,所以未来彩灯控制器的市场还是十分有潜力的。彩灯的发展也在日新月异,特别是随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一。本实验利用VHDL语言对交通控制的逻辑功能进行描述,通过Quartus2和Cyclone芯片的强大功能使其功能得到实现。经过验证,基本达到实验目的,能够满足彩灯控制的需求。 关键字:彩灯控制器 VHDL QuartusⅡ

循环彩灯控制器 1设计目的及任务 1.1设计目的 (1)学习QuartusⅡ的结构、特点和性能; (2)学习的实用方法和编程过程; (3)熟悉EDA工具设计数字电路的设计方法,掌握VHDL硬件描述语言设计方法; (4)通过对循环彩灯控制器的设计,来加深对可编程逻辑器件的理解和掌握; (5)能够运用VHDL编程语言编写实验程序,进一步对所学的EDA知识进行掌握与实际应用。 1.2设计任务 设计一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。 2. Quartus II软件简介 2.1 Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

彩灯循环控制器设计

电子技术课程设计说明书题目:彩灯循环控制器(B) 学生姓名:曹文天 学号:200806010211 院(系):电信学院 专业:电气082 指导教师:张震强 2010 年 12 月 10 日

这次课程设计的题目是彩灯循环控制器。题目的要求是:(1)采用一个半导体数码管作为控制器的显示器,能够自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、1、2、3、4、5、6、7(音乐符号数列)和0、2、4、6、8(偶数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 彩灯控制器主要是通过计数器来实现的。这个彩灯控制电路的实质是要产生一系列的数列,包括自然数列、奇数列、偶数列、音乐数列,然后通过一个七段数码管显示出来。这些数列的生成均是通过不断给一个74HC160脉冲,使其从0到9计数并不断循环。再用另一片74HC160作为循环控制,把它设置成四循环计数器,不断输出00到11。当其输出00时为自然数列输出,01时为奇数列输出,10 时为偶数列输出,11 时为音乐数列输出。0到9的数列产生后在不同状态下通过两片74HC153置成所需数列通过CD4511译码连接数码管输出。另外,按照上述方法产生的奇、偶数列相邻两个数显示的时间是自然数列及音乐数列的二倍。则要用JK触发器加74HC153对自然数列及音乐数列的脉冲进行二分频,使得四种数列相邻两个数显示的时间相同。最后脉冲的产生是通过555电路组成多谐振荡器来产生的。我设置脉冲频率为2HZ,这样经分频后在数码管上显示的数字为一秒变一下。最后要把它们有效的结合起来联合工作,就可以实现目的功能。

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

1_彩灯循环控制器

4.2 应用电路1 彩灯循环控制器的设计与仿真分析 变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢? 这就是我们下面要讨论的课题——彩灯循环控制电路。 在实际工作中,能够实现彩灯循环控制要求的电路形式或方案很多,现给出几种与数字电路内容结合紧密且容易实现的电路方案,以期使读者开阔思路,学习数字电路的设计与制作方法。 1电路设计分析 (1)彩灯循环控制技术指标 ①彩灯能够自动循环点亮。 ②彩灯循环显示且频率快慢可调。 ③该控制电路具有8路以上输出。 (2)方案论证与实现 彩灯循环控制电路主要由3部分组成,其整体框图如图4—5所示。 ①振荡电路。 主要用来产生时间基准信号(脉冲信号)。因为循环彩 灯对频率的要求不高,只需要能产生高低电平,且脉冲 信号的频率可调,所以采用555定时器组成的振荡器, 其输出的脉冲作为下一级的时钟信号。电路如图4-6所不,接上示波器是为了调试振荡器输出信号波形,调试好后就可以去掉示波器,振荡器电路作为一个模块就可以和后续电路相连接了。图4—7为调试好的振荡器输出波形。 ②计数器/译码分配器。 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器CD4017,它是一种用途非常广泛的集成电路芯片。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是00、01、02、…、09依次出现与时钟同步的高电平,宽度等于时钟周期。 CD40 1 7有3个输入端(MR、CP0和~CP 1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1~O9)均为低电平。CP0和~CP l是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP l端输入。设置2个时钟输入端,级联时比较方便,可驱动更多的二极管发光。 CD401 7有10个输出端(O0~O9)和1个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

数电课程设计循环彩灯控制器

课 程 设 计 说 明 书 班级:电子信息0901 学号:0501090108 学生姓名:张亚军 指导教师:曹建生 日期:2011.1.04

绪论 自1879年美国科学家爱迪生发明了白炽灯以来,便结束了人类“黑暗“的历史,给人类以光明,创造了巨大的财富。如今灯光已成为人民生活中必不可少的家用品。而相续发展起来的的循环彩灯也成为时代前沿的时尚艺术,它以现代高科技为基础,随着高技术日新月异的发展,其艺术性和表现力都产生了质的飞跃,实现了艺术上的创新与突破,不断创造出令人惊叹、叫绝的视觉艺术效果,给人们带来了美的享受和心灵上的震撼。 伴随着人们生活环境的不断改善和美化,在许多场合可以看到霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点而且价格昂贵。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 利用控制电路可使彩灯按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。再由于人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发

四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: ********* 学号: *********** 姓名: ******* 评分:教师: ****** 20 年月日

数字电路课程设计任务书 20 -20 学年第学期第周-周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 摘要

本次课程设计应用了彩灯控制器的原理,主要采用74LS93模十六计数器和555多谐振荡器,实现了四花样自动循环变换。 首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样来确定这四种码,而这四种码可通过模十六计数器74LS93产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS93和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 关键词:彩灯控制器、移位寄存器、数据选择器、时钟脉冲、自动转换 目录

前言 (1) 第一章设计内容及要求 (2) 1.1 设计内容 (2) 1.2 设计要求 (2) 1.3 主要参考元器件 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成框图 (3) 2.2 工作原理分析 (3) 第三章硬件电路设计方案 (5) 3.1 方案一 (5) 3.2 方案二 (6) 3.3 单元电路设计,参数计算及器件选择 (7) 3.3.1 时序脉冲电路 (7) 3.3.2 四种码产生电路 (9) 3.3.3 彩灯自动转换电路 (12) 3.3.4 整机电路分析 (15) 第四章软件设计仿真 (16) 4.1 电路仿真图 (16) 第五章实验、调试和测试结果与分析 (19) 5.1 电路的焊接和安装 (19) 5.2 电路的调试 (19) 第六章结论 (22) 参考文献 (23) 附录A 花样彩灯控制器原理总图 (24) 附录B 各个芯片的管脚功能图 (25) 附录C 元器件清单 (26)

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期:

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

8路彩灯控制器设计详细讲解(附图)

8路彩灯控制器设计详细讲解(附图)学校:北华大学 班级:信息 11-2 姓名:王杰 学号:28号 指导教师:曲萍萍 实验日期:2013.5.20—5.24 一、软件内容 二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得 六、参考文献 一、软件内容 MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。 MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性

MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置 MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。 2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理, 进一步学会使用其进行电路设计。 3、了解数字系统设计的基本思想和方法,学会科学分析和解决问 题。 4、培养认真严谨的工作作风和实事求是的工作态度。 5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会, 增强动手实践的能力。 实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。具体要 求如下:1、8路彩灯的循环花型如下表所示;2、节拍变 化的时间为0.5s和0.25s,两种节拍交替运行;3、三种 花型要求自动循环显示 移存器输出状态编码表 节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 11111000 13 11110000 14 11100000

相关文档
最新文档