全自动洗衣机的设计-Verilog程序文件

全自动洗衣机的设计-Verilog程序文件
全自动洗衣机的设计-Verilog程序文件

实验报告

2016 年 5 月 24 学 院:

大数据与信息工程学院 专 业:

电子与通信工程 学 号:

2015021718 学生:

鹏 举 指导教师: 良 荣

目录

一、实验题目 (3)

二、实验目的 (3)

三、实验要求 (3)

四、洗衣机工作原理与功能说明 (3)

状态图如下 (4)

程序RTL视图如下 (4)

五、详细代码如下 (5)

模块一实现洗衣机状态转换和各状态输出控制 (5)

模块二实现复位信号的异步复位同步释放 (11)

模块三实现当前状态的数码管显示 (12)

模块四实现按键检测 (13)

模块五实现顶层的调用 (15)

一、实验题目

自动洗衣机设计

二、实验目的

1、熟练掌握Quartus II 工程文件的建立过程。

2、掌握Verilog的基本语法、语句、模块的使用。

3、了解模块的建立与使用。

4、了解FPGA的开发基本流程。

三、实验要求

1、初始状态,准备启动。

2、开始进水;为防止进水过程出现故障,故不用定时器

控制,而是手动给一个信号模拟水位检测传感器,表示进水完成。

3、开始洗涤:正反洗涤4次开始排水。

4、排水后立即脱水。

5、洗涤之后漂洗2次,每次漂洗过后都排水甩干。

6、整个过程全部用数码管来显示状态机状态,并用两个

led灯表示电机的正转与反转状态。

四、洗衣机工作原理与功能说明

自动洗衣机的工作原理:洗衣机有7个工作状态分别为空闲(idle)、加水(water)、洗衣(wash)、排水(drain)、甩干(dry)、漂洗(rinse)、结束音乐提示(music)。一次完整的洗涤,进水3次、洗涤1次、漂洗两次、排水3次、甩干3次。一次完整的状态转换为:空闲(idle)、加水(water)、洗衣(wash)、排水(drain)、甩干(dry)、加水(water)、漂洗(rinse)、排水(drain)、甩干(dry)、加水(water)、

漂洗(rinse)、排水(drain)、甩干(dry)、结束音乐提示(music)。

程序功能说明:程序有4个输入start启动信号、water_test 水满检测信号,水满了就置一、drain_test排水检测,水排完了就置一、emergency紧急停止信号,任何情况下输入都进入idle状态,即停止工作。一开上电过后系统处于空闲状态,当输入信号start后进入加水状态,水满过后进入浸泡状态,浸泡完毕进入洗衣状态,洗衣完毕进入排水状态,第一次排水完毕进入甩干状态,第一次甩干完成进入加水状态,第二次加水完成后进入第一次漂洗状态,第一次漂洗完毕进入第二次排水状态,排水完毕进入第二次甩干状态,第二次甩干完毕进入第三次加水状态,再进入第二次漂洗状态,第三次排水状态,第三次甩干状态,进入结束音乐提醒状态,回到空闲状态。洗衣服和漂洗为洗衣电机的低速档位,在排水过程中将电机档位变为高档位。当甩干完毕变到其他状态时,就把电机档位变到低档位。洗涤和漂洗时,电机正转和反转之间有3秒钟的延时(即让电机自动减速,不至于电机突然反转时负荷太大烧毁电机)。

状态图如下

程序RTL视图如下

4个输入由外部按键输入,经过模块key按键消抖确定按键是否被按下。按键输入到模块washer作为一些状态转换的条件。washer的状态输出到数码管显示模块segmain显示系统当前处于什么状态。

系统有4个输出clockwise正转信号0表示转,1表示不转、anticlockwise反转信号0表示转,1表示不转、finish结束信号0表示结束,1表示未结束、cstate表示当前状态。经调试实现了想要的功能。

五、详细代码如下

模块一实现洗衣机状态转换和各状态输出控制

//----------------------------------------------------

//用1段式状态机,分成3段来写

//----------------------------------------------------

module washer(

clk,

rst_n,

start,

water_test, //水满信号

drain_test, //排水检测

emergency, //紧急情况处理

clockwise, //正转信号

anticlockwise, //反转信号

finish, //结束信号

level, //档位显示 0为高档位 1为低档位

cstate //用于显示处于哪个状态

);

parameter idle = 0,

inwater = 1,

steep = 2, //浸泡

wash = 3, //洗涤

drain = 4, //排水

dry = 5,

rinse = 6, //漂洗

music = 7;

reg steep_test; //浸泡结束信号

reg wash_test; //洗涤结束信号

reg dry_test; //甩干结束信号

reg rinse_test; //漂洗结束信号

reg music_test; //音乐结束信号

input clk,rst_n; //时钟复位

input start; //启动信号

input water_test; //水满信号

input drain_test; //排水检测

input emergency; //紧急停止信号

output reg clockwise; //正转信号0表示转,1表示不转

output reg anticlockwise; //反转信号0表示转,1表示不转

output reg finish; //结束信号0表示结束,1表示未结束output [2:0] cstate; //表示现在的状态

output reg level; //显示电机档位

reg[2:0] cstate_r;

assign cstate = cstate_r;

reg[1:0] water_time; //洗涤一次漂洗两次

reg[1:0] drain_time; //排水三次

reg[1:0] dry_time; //甩干三次

always(posedge clk)

if(~rst_n)begin

cstate_r <= idle;

end

else

begin

case(cstate_r)

idle: if(start) begin //0

cstate_r <= inwater; //一开始就进入进水状态

end

else cstate_r <= idle;

//1

inwater:if(emergency) cstate_r <= idle; //遇到紧急情况就停止 else if(water_test)

begin

case(water_time)

2'b00: cstate_r <= steep; //水满了就进入浸泡状态

2'b01: cstate_r <= rinse;

2'b10: cstate_r <= rinse;

default: ;

endcase

end

else cstate_r <= inwater;

//2

steep:if(emergency) cstate_r <= idle; //遇到紧急情况就停止

else if(steep_test) cstate_r <= wash; //浸泡完毕就进入洗涤状态

else cstate_r <= steep;

//3

wash:if(emergency) cstate_r <= idle; //遇到紧急情况就停止

else if(wash_test) cstate_r <= drain; //洗完就进入排水状

else cstate_r <= wash;

//4

drain:if(emergency) cstate_r <= idle;

else if(drain_test) begin

cstate_r <= dry;

end

else cstate_r <= drain;

//5

dry:if(emergency) cstate_r <= idle;

else if(dry_test) begin

case(dry_time)

2'b01: cstate_r <= inwater;

2'b10: cstate_r <= inwater;

2'b11: cstate_r <= music;

default: ;

endcase

end

else cstate_r <= dry;

//6

rinse:if(emergency) cstate_r <= idle;

else if(rinse_test)

cstate_r <= drain;

else cstate_r <= rinse;

//7

music:if(emergency) cstate_r <= idle;

else if(music_test) cstate_r <= idle;

else cstate_r <= music;

default: cstate_r <= idle;

endcase

end

reg[28:0] counter;

reg[3:0] num;

//reg in_water;

always(posedge clk or negedge rst_n)

begin

if(~rst_n)begin

steep_test <= 1'b0;

wash_test <= 1'b0;

dry_test <= 1'b0;

rinse_test <= 1'b0;

music_test <= 1'b0;

water_time <=2'd0;

drain_time <= 2'd0;

dry_time <= 2'd0;

counter <= 29'd0;

num <= 4'd0;

music_test <= 1'b0;

clockwise <= 1'b1;

anticlockwise <= 1'b1;

finish <= 1'b1;

end

else begin

case(cstate_r)

idle: begin //0

music_test <= 1'b0; //音乐结束信号复位

clockwise <= 1'b1;

anticlockwise <= 1'b1; //电机旋转方向信号

finish <= 1'b1; //结束信号

wash_test <= 1'b0; //洗涤完成信号复位

rinse_test <= 1'b0;

dry_test <= 1'b0; //将甩干标志清零

steep_test <= 1'b0; //将浸泡结束标志位复位

music_test <= 1'b0;

end

inwater: begin //1 water_test不用置零

clockwise <= 1'b1;

anticlockwise <= 1'b1;

level <= 1'b1; //档位跳到低位档

dry_test <= 1'b0; //将甩干标志清零

if(water_test)begin //进水完成信号为外部输入信号

if(water_time == 2'b11)begin

water_time <= 2'b00;

end

else water_time <= water_time + 1'b1;

end

end

steep: begin //2

clockwise <= 1'b1;

anticlockwise <= 1'b1;

if(counter == 29'd250000000) begin

counter <= 29'd0;

steep_test <= 1'b1; //浸泡10秒钟浸泡完成end

else counter <= counter + 1'b1;

end

wash: begin //3

steep_test <= 1'b0; //将浸泡结束标志位复位

case(num)

4'd0: begin

clockwise <= 1'b0; //正转5秒

anticlockwise <= 1'b1;

end

4'd1: begin

clockwise <= 1'b1; //停转3秒

anticlockwise <= 1'b1;

end

4'd2: begin

clockwise <= 1'b1; //反转5秒

anticlockwise <= 1'b0;

end

4'd3: begin

clockwise <= 1'b1; //停转3秒

anticlockwise <= 1'b1;

end

4'd4: begin

clockwise <= 1'b0; //正转5秒

anticlockwise <= 1'b1;

end

4'd5: begin

clockwise <= 1'b1; //停转3秒

anticlockwise <= 1'b1;

end

4'd6: begin

clockwise <= 1'b1; //反转5秒

anticlockwise <= 1'b0;

end

4'd7: begin

num <= 4'd0;

wash_test <= 1'b1; //下一个状态置零

clockwise <= 1'b1;

anticlockwise <= 1'b1;

end

default: begin

clockwise <= 1'b1;

anticlockwise <= 1'b1;

end

endcase

if(num == 1 || num == 3 || num == 5)

begin

if(counter == 29'd150000000) //延时3秒

begin

counter <= 29'd0;

num <= num + 1'b1;

end

else counter <= counter + 1'b1;

end

else if(counter == 29'd250000000) begin

counter <= 29'd0;

num <= num + 1'b1;

end

else counter <= counter + 1'b1;

end

drain:begin //4 drain_test不用置零

clockwise <= 1'b1;

anticlockwise <= 1'b1;

wash_test <= 1'b0; //洗涤完成信号复位

rinse_test <= 1'b0; //漂洗完成信号复位

level <= 1'b0; //调节档位到高档位

if(drain_test)begin //排水信号为外部输入信号

if(drain_time == 2'b11)begin //排水3次

drain_time <= 2'b00;

end

else drain_time <= drain_time + 1'b1;

end

end

dry: begin //5

clockwise <= 1'b0; //甩干正转信号置1

anticlockwise <= 1'b1;

if(counter == 29'd250000000) begin

counter <= 29'd0;

clockwise <= 1'b1; //停止正转

anticlockwise <= 1'b1;

dry_test <= 1'b1; //甩干完成未清零所以一次跳两个状态

if(dry_time == 2'b11)begin

dry_time <= 2'b00;

end

else dry_time <= dry_time + 1'b1;

end

else counter <= counter + 1'b1;

end

rinse: begin //6

case(num)

4'd0:begin

clockwise <= 1'b0; //正转5秒

anticlockwise <= 1'b1;

end

4'd1:begin

clockwise <= 1'b1; //停止转动3秒

anticlockwise <= 1'b1;

end

4'd2:begin

clockwise <= 1'b1; //反转5秒

anticlockwise <= 1'b0;

end

4'd3:begin

rinse_test <= 1'b1;

num <= 3'd0;

clockwise <= 1'b1; //停止转动

anticlockwise <= 1'b1;

end

default:;

endcase

if(num == 1)

begin

if(counter == 29'd150000000) //延时3秒

begin

counter <= 29'd0;

num <= num + 1'b1;

end

else counter <= counter +1;

end

else if(counter == 29'd250000000)

begin //延时5秒

counter <= 29'd0;

num <= num + 1'b1;

end

else counter <= counter + 1'b1;

end

music: begin //7

clockwise <= 1'b1;

anticlockwise <= 1'b1;

level <= 1'b1; //将档位调到低档位

dry_test <= 1'b0; //将甩干完成信号复位

finish <= 1'b0; //结束将结束信号置1

if(counter == 29'd5*******) begin

counter <= 29'd0;

music_test <= 1'b1;

end

else counter <= counter + 1'b1;

end

default: ;

endcase

end

end

endmodule

模块三实现当前状态的数码管显示

module segmain(

clk,

rst_n,

datain,

seg_data,

seg_

);

input clk;

input rst_n;

input[2:0]datain;

output[7:0]seg_data;

output seg_;

reg[7:0]seg_data;

reg[2:0]bcd_led;

reg[18:0]count;

assign seg_ = 1'b0;

always(posedge clk)

begin

if(~rst_n)

begin

count <= 19'd0;

end

else

count <= count + 1'b1;

end

always(posedge clk)

begin

if(count[18])

begin

bcd_led <= datain;

end

end

always(bcd_led)

begin

case(bcd_led)

4'h0:seg_data = 8'hc0; //0 共阳低有效

4'h1:seg_data = 8'hf9; //1

4'h2:seg_data = 8'ha4; //2

4'h3:seg_data = 8'hb0; //3

4'h4:seg_data = 8'h99; //4

4'h5:seg_data = 8'h92; //5

4'h6:seg_data = 8'h82; //6

4'h7:seg_data = 8'hf8; //7

//4'h8:seg_data = 8'h80; //8

//4'h9:seg_data = 8'h90; //9

//4'ha:seg_data = 8'h88; //A

//4'hb:seg_data = 8'h83; //B

//4'hc:seg_data = 8'hc6; //C

//4'hd:seg_data = 8'ha1; //D

//4'he:seg_data = 8'h86; //E

//4'hf:seg_data = 8'h8e; //F

default:seg_data = 8'hc0; //0

endcase

end

endmodule

模块四实现按键检测

module key

(

clk,rst_n,

sw,start,water_test,

drain_test,emergency

);

input clk; //主时钟信号,50MHz

input rst_n; //复位信号,低有效

input[3:0] sw; //三个独立按键,低表示按下

output reg start; //启动信号

output reg water_test; //水满信号

output reg drain_test; //排水检测

output reg emergency; //紧急停止信号

//-------------------------------------------------------------------

--------

reg[3:0] key_rst;

always (posedge clk or negedge rst_n)

if (!rst_n) key_rst <= 3'b111;

else key_rst <= sw;//将按键状态存入寄存器中

reg[3:0] key_rst_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always ( posedge clk or negedge rst_n )

if (!rst_n) key_rst_r <= 4'b1111;

else key_rst_r <= key_rst; //寄存器中存入按键上个时钟周期的按键状态

//当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期wire[3:0] key_an = key_rst_r & (~key_rst);

//---------------------------------------------------------------------------

reg[19:0] t; //计数寄存器

always (posedge clk or negedge rst_n)

if (!rst_n)t <= 20'd0; //异步复位

else if(key_an)t <=20'd0; //检测到有键被按下(可能是按键抖动直到检测不到)

elset <=t + 1'b1; //就将计数器清零

reg[3:0] low_sw;

always (posedge clk or negedge rst_n)

if (!rst_n) low_sw <= 4'b1111;

else if (cnt == 20'hfffff) //满20ms,将按键值锁存到寄存器low_sw 中t == 20'hfffff

low_sw <= sw;

//---------------------------------------------------------------------------

reg [3:0] low_sw_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always ( posedge clk or negedge rst_n )

if (!rst_n) low_sw_r <= 4'b1111;

else low_sw_r <= low_sw;

wire[3:0] led_ctrl = low_sw_r[3:0] & ( ~low_sw[3:0]);

always (posedge clk or negedge rst_n)

if (!rst_n) begin

start <= 1'b0; //启动信号

water_test <= 1'b0; //水满信号

drain_test <= 1'b0; //排水检测

emergency <= 1'b0; //紧急信号

end

else begin

if ( led_ctrl[0] ) start <= 1'b1;

else start <= 1'b0;

if ( led_ctrl[1] ) water_test <= 1'b1;

else water_test <= 1'b0;

if ( led_ctrl[2] ) drain_test <= 1'b1;

else drain_test <= 1'b0;

if ( led_ctrl[3] ) emergency <= 1'b1;

else emergency <= 1'b0;

end

endmodule

模块五实现顶层的调用

module washer_top(

input clk,

rst_n,

start,

water_test, //水满信号

drain_test, //排水检测

emergency, //紧急情况处理

output clockwise, //正转信号

anticlockwise, //反转信号

finish, //结束信号

level,

output[7:0]seg_data,

output seg_

);

wire sys_rst_n;

wire start1;

wire water_test1;

wire drain_test1;

wire emergency1;

wire[2:0] cstate;

washer washer(

.clk(clk),

.rst_n(sys_rst_n),

.start(start1),

.water_test(water_test1), //水满信号

.drain_test(drain_test1), //排水检测

.emergency(emergency1), //紧急情况处理

.clockwise(clockwise), //正转信号

.anticlockwise(anticlockwise), //反转信号

.finish(finish), //结束信号

.cstate(cstate)

);

synchronism_design syschronism(

.clk(clk),

.rst_n(rst_n),

.sys_rst_n(sys_rst_n)

);

segmain segmain(

.clk(clk),

.rst_n(sys_rst_n),

.datain(cstate),

.seg_data(seg_data),

.seg_(seg_)

);

key key

(

.clk(clk),

.rst_n(sys_rst_n),

.sw({emergency,start,water_test,drain_test}),

.start(start1),

.water_test(water_test1),

.drain_test(drain_test1),

.emergency(emergency1)

);

endmodule

plc实验_全自动洗衣机控制

全自动洗衣机控制 1、设计任务和目的 通过理论设计和实物制作解决相应的实际问题,巩固和运用在《电气控制与可编程控制器技术》中所学的理论知识和实验技能,掌握PLC可编程软件的使用,程序的一般设计方法,提高设计能力和实践动手能力,为以后从事自动化设计、研发自动化产品打下良好的基础。 2、设计要求 全自动洗衣机的洗衣桶和脱水桶是以同一中心安放的。外桶固定,作盛水用。桶可以旋转,作脱水用。桶的四周有很多小孔,使外桶的水流相通。该洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。洗涤正转、反转由洗涤电动机驱动波盘正、反转来实现,此时脱水桶并不旋转。脱水时,通过电控系统将离合器合上,由洗涤电动机带动桶正转进行甩干。高、低水位开关分别用来检测高、低水位。启动按钮用来启动洗衣机工作。停止按钮用来实现手动停止进水、排水、脱水及报警。排水按钮用来实现手动排水。 3、控制要求 PLC投入运行,系统处于初始状态,准备好启动。 (1)按下启动按扭及水位选择开关,开始进水,水满(即水位到达高低)时停止进水。(2) 2秒后开始洗涤。 (3)洗涤时,正转15秒后暂停,暂停3秒后开始反转洗涤,反转洗涤15秒后暂停,暂停3秒。 (4)如此循环3次后开始排水,排空后(水位下降到低位)开始脱水并继续排水。脱水10秒即完成一次从进水到脱水的工作循环过程。(5)若未完成3次大循环,则返回从进水开始的全部动作,进行下一次大循环;若完成了3次大循环,则进行洗完报警。(6)报警10秒结束全部过程,自动停机。 (7)此外按排水按钮可实现手动排水;按停车按扭可停止进水、排水、脱水及报警。 4、实验容 4.1全自动洗衣机的工作原理 洗衣机的进水、排水分别由进水电磁阀和排水电磁阀执行。洗涤正转、反转由洗涤

全自动洗衣机PLC控制课程设计

目录 第一章:全自动洗衣机概述及PLC应用 (2) 1、1全自动洗衣机发展概括 (2) 1、2 全自动洗衣机的PLC应用 (2) 1、3 PLC的选择 (2) 第二章:全自动洗衣机工作及要求 (2) 2、1全自动洗衣机控制要求 (2) 2、2全自动洗衣机的工作流程及原理 (3) 第三章:接线图及原理图 (4) 3、1控制系统硬件接线图 (4) 3、2控制系统原理图 (5) 第四章:程序流程图及说明 (5) 4、1程序流程说明、 (5) 4、2程序的流程图 (6) 第五章:全自动洗衣机PLC控制系统程序 (7) 5、1输入输出地址及定时器,计数器 (7) 5、2程序编制 (8) 第六章:参考文献 (16) 第七章:总结 (16) 第一章:全自动洗衣机概述及PLC应用 1、1全自动洗衣机发展概括 目前世界洗衣机年总产量近5000万台,而全自动洗衣机的产量呈增长趋势,在技术性能上正向着节水,节能,高效结构更趋合理的方向发展。微电脑控制功能,新型的洗涤方式,高

速脱水以及低噪音等方面都有了很大的提高。 近几年,我国的洗衣机制造技术得到迅速发展,从生产单桶波轮式,双桶波轮式洗衣机逐步向套桶波轮式全自动洗衣机与滚轮式全自动洗衣机方向发展,其中全自动洗衣机的年产量已占洗衣机总产量的10%左右。生产规模不断扩大,技术工艺日趋完善,产量质量逐步提高,以生产出技术性能优良的多种品牌的全自动洗衣机供应市场。 1、2 全自动洗衣机的PLC应用 洗衣机需要更好的满足人们的需求,必须借助于自动化技术的发展。而随着PLC技术的发展,用PLC来作为控制器,就能很好的满足全自动洗衣机对自动化的要求,并且控制方式灵活多样,控制模式可以根据不同场合的应用而有所不同。自动化技术的飞速发展使得洗衣机由初始的半自动洗衣机发展到现在的全自动洗衣机,又正在向自能化洗衣机方向发展。 1、3 PLC的选择 本设计应用了西门子公司生产的具有高性能价格比的微型可编程控制器S7—200系列PLC,设计实现全自动洗衣机控制系统。该系统充分利用了可编程控制器的多方面设计知识与方法,使该系统可靠稳定,使其应用范围得到扩展。 第二章:全自动洗衣机基本结构 2、1全自动洗衣机控制要求 1、全自动洗衣机控制系统的要求: (1) 按下启动按扭及水位选择开关,开始进水直到高(中、低)水 位,关水 (2) 2秒后开始洗涤 (3) 洗涤时,正转30秒,停2秒,然后反转30秒,停2秒 (4) 如此循环5次,总共320秒后开始排水,排空后脱水30秒 (5) 开始清洗,重复(1)~(4),清洗两遍 (6) 清洗完成,报警3秒并自动停机 (7) 若按下停车按扭,可手动排水(不脱水)与手动脱水(不计数) 2、2全自动洗衣机的工作流程与工作原理 1.全自动洗衣机的工作流程 全自动洗衣机的单循环工作流程示意图

基于西门子plc全自动洗衣机课程设计

摘要本文介绍了利用西门子系列PLC对全自动洗衣机控制系统总体控制,阐述了控制方案。实现全自动洗衣机控制系统总体控制有多种,可以采用早期的模拟电路、数字电路或模数混合电路。近年来随着科技的飞速发展,单片机、PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新。本文采用德国西门子公司生产的S7-200型PLC 作为核心控制器进行全自动洗衣机控制系统的设计,并且设计出了系统结构图、程序指令、梯形图以及输入输出端子的分配方案。同时根据全自动洗衣机控制系统总体控制要求和特点,确定PLC 的输入输出分配,并进行现场调试 ?关键字:PLC 全自动洗衣机控制系统 PLC程序设计 目录

设计体会 (21) 1 引言 从古到今,洗衣服都是一项难于逃避的家务劳动,在洗衣机出现以前,这项劳动并不像田园诗描绘的那样充满乐趣、手搓、脚踩、棒击、冲刷、摔打。这些不断重复的简单的体力劳动,留给人的感受常常是辛苦劳累。

1874年,“手洗时代”受到了前所未有的挑战——美国人比尔·布莱克斯发明了木制手摇洗衣机。 1880年,美国又出现了蒸汽洗衣机,蒸汽动力开始取代人力。之后,水力洗衣机,内燃机洗衣机也相继出现。 1911年,美国试制成功世界上第一台电动洗衣机,标志着人类家务劳动自动化的开端。1922年,电动洗衣机迎来一种崭新的洗衣方式——搅拌式。搅拌式洗衣机由美国玛依塔格公司研制成功。 70年代后期,微电脑控制的全自动洗衣机出现引领新的发展方向,让人耳目一新。 90年代,由于电动机调速技术的提高,洗衣机实现了较宽范围的转速变换与调节,诞生了许多新水流洗衣机。 全自动洗衣机其特点是能自动完成洗涤,漂洗和脱水的转换,整个过程不需要人工操作。这类洗衣机均采用套筒式结构,其进水,排水都采用电磁阀,由程序控制器按人们预先设计好的程序不断发出指令,驱动各执行器件动作,整个洗衣过程自动完成。所用的程序控制器可分为电动机驱动式和单片机式。从控制方式的发展阶段上分: 全自动洗衣机可分为两大类: 第一类电动控制洗衣机,它的程序控制器由电动元件组成。 第二类是电脑控制洗衣机,它的程序控制器由微型计算机组成。电动控制全自动洗衣机是较早出现的自动控制类家用电器,其产品类型还属于传统的机械产品,是自动控制的初级阶段。随着计算机的及微电子技术的发展,自动控制系统正在逐步实现硬件化。因此,电动控制洗衣机将逐步退出家电舞台。

PLC控制全自动洗衣机毕业设计方案论文

基于PLC 控制全自动洗衣机毕业设计论文 系部自动控制系专业电气自动化技术班级学生姓名学号指导教师2011 年05 月16 日摘要本文描述了采用可编程控制器(PLC)作为核心控制部件,并利用计算机进行模拟监控的全自 动洗衣机控制系统。文章介绍了洗衣机的结构,对全自动洗衣机的控制系统进行了分析,在此基础上提 出了基于PLC 的全自动洗衣机控制方案,并对方案进行了论证,根据洗衣机的工作原理,设计了流程及程序,对按钮,等其它一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于洗涤,排水, 脱水的时间均由PLC 内计数器控制,所以只要改变定时器参数就可以改变时间。对其中软件设计、硬件设计等问题进行了分析和研究,实现了全自动洗衣机的正常运行、简易模式及强制性停止功能。具有智能化程度高、安全可靠、方便、灵活等特点。关键词:PLC 自动定时器控制 Abstract This paper describes the use of programmable logic controller (PLC) as the core control unit, and monitoring the use of computer simulation control system for automatic washing machine. This paper introduces the structure of the washing machine, full automatic washing machine control system is analyzed, on this basis was proposed based on fully automatic washing machine PLC control program, and a demonstration program, according to the washing machine working principle, design a process and procedures, buttons, and other some input / output control points to achieve the automation of the process of washing laundry. As washing, drainage, dewatering of the time by the counter within the PLC control, so long as the parameters can change the timer time. On which software design, hardware design issues such as analysis and research, to achieve the normal operation of the automatic washing machine, simple patterns and mandatory stop function. With a high degree of intelligence, secure, reliable, convenient and flexible. Key word: PLC automatic Timer control 目录第1 章 绪论................................................... 1 1.1 选题背景意义...................................................... 1 1.2 洗衣机的发展历史.................................................. 1 1.3 控制系统的选择 ................................................... 2 1.4 本次毕业设计主要研究的内容 (3) 第2 章 全自动洗衣机控制系统的控制要求........................ 4 2.1 全自动洗衣机的工作原理............................................ 4 2.2 设备控制要求 (5) 第3 章 硬件的理论与设计...................................... 6 3.1 全自动洗衣机整体结构图............................................ 6 3.2 硬件设计.......................................................... 7 3.3 继电器 ..... 可编程序控制器 8 3.4 9 3.5

全自动洗衣机课程设计教学内容

全自动洗衣机的设计 一、题目《全自动洗衣机的设计》 1.1全自动洗衣机的介绍 洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,而全自动式洗衣机因使用方便更加得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成,控制器通常设有几种洗涤程序,对不同的衣物可选择不同的洗涤方式。 1.2全自动洗衣机的发展背景 从古到今,洗衣服都是一项难于逃避的家务劳动,而在洗衣机出现以前,对于许多人而言,它并不像田园诗描绘的那样充满乐趣,手搓、棒击、冲刷、甩打……这些不断重复的简单的体力劳动,留给人的感受常常是:辛苦劳累。 1858年,汉密尔顿·史密斯制成了世界上第一台洗衣机。1874年,“手洗时代”受到了前所未有的挑战,美国人比尔·布莱克斯发明了世界上第一台人工搅动洗衣机。1911年美国人又研制了世界上第一台电动洗衣机。1920年美国的玛依塔格公司又把洗衣机的木制桶改为铝制桶体,第二年又把铝制桶体改为外层铸铝、内层为铜板的双层结构。1936年,他们又将搪瓷用于洗衣机桶体。与此同时,世界各地也相继出现了洗衣机。欧洲国家研究成功了喷流式洗衣机和滚筒式洗衣机。 1932年后,美国一家公司研制成功了第一台前装式滚筒全自动洗衣机,洗涤、漂洗和脱水都在同一个滚筒内自动完成,使洗衣机的发展跃上了一个新台阶。 这种滚筒洗衣机,目前在欧洲、美洲等地得到了广泛的应用。 第二次世界大战结束后,洗衣机得到了迅速的发展,研制出具有独特风格的波轮式洗衣机。这种洗衣机由于其波轮安装在洗衣桶底,又称涡卷式洗衣机。 近几十年,在工业发达国家,全自动洗衣机制造技术又得到迅速发展,其年总产量及社会普及率均以达到相当高得水平。像我国的世界500强海尔,由一个频临破产的企业一跃成为全球洗衣机顶级制造商。它制造的海尔洗衣机畅销全球。 1.3全自动洗衣机的发展前景 全自动洗衣机的发展首先表现在洗涤方式发生巨大变化。原先大多侧重于水流的改变、动力的加大。现在,超音波、电解水、臭氧和蒸汽洗涤的运用,使洗衣机的去污能力从单纯依靠洗衣粉、洗涤剂的化学作用和强弱变化的水流机械作用,向更高层次的健康、环保洗涤方式转变,特别是电解水、超音波技术在洗衣机行业的运用几乎改变了洗衣机的历史——洗衣不用或少用洗衣粉、洗涤剂,减少化学品对皮肤的损害和对环境的污染。电解水、臭氧、蒸汽的杀菌除味及消毒功能倍受青睐,引发了洗衣机消费健康潮。 另一变化就是高度自动化、智能化、人性化。从半自动、全自动到现在流行的人工智能、模糊控制,只需按一下按钮一切搞定!同时,用户可以按照自己的洗衣习惯,自主选择时间和方式,自编和记忆程序让用户真正做到随心所欲。人性化还表现在使用的方便和舒适,如子母分洗洗衣机可以做到不同衣物分开洗;斜桶和顶开滚筒可以做到取放衣物方便不需深弯腰;蒸汽烘干功能使得晾晒更加方便,DD直驱电机在节能降噪方面效果更加突出,等等。 另外,大容量成为不变的消费趋势。前几年,洗衣机容量多为4-5公斤,

全自动洗衣机PLC控制毕业设计任务书(精)

全自动洗衣机PLC控制系统设计 一、设计题目 全自动洗衣机PLC控制系统设计 二、设计目的 (1通过毕业设计培养学生综合运用所学的基础理论、基础知识、基本技能进行分析和解决实际问题的能力。 (2使学生受到PLC系统开发的综合训练,达到能够进行PLC系统设计和实施的目的。 (3使学生掌握利用PLC对压力进行PID控制方法。 三、毕业设计的技术数据: 对T68卧式镗床的控制电路进行改造,用PLC软件控制改造其继电器控制电路,克服了继电器控制的缺点,降低了设备故障率,提高了设备使用效率,改造后运行效果非常好。改造原则: 1.原镗床的工艺加工方法不变 2.在保留主电路的原有元件的基础上,不改变原控制电气操作方法 3.电器控制系统控制元件(包括按钮、行程开关、热继电器、接触器作用于原电器线路相同 4.主轴和进给启动、制动、低俗、高速和变速冲动的操作方法不变 5.改造原继电器控制中硬件接线为PLC编程实现。 四、毕业设计的任务

1、熟悉题目要求,查阅相关科技文献 2、方案设计(包括方案论证与确定、技术经济分析等内容 3、硬件和软件设计(其中还包括理论分析、设计计算、实验及数据处理、设备及元器件选择等 4、绘制图纸 6、撰写设计说明书 五、毕业设计的主要内容 2、提出综合自动化系统的硬件方案和方案论证优化。 3、完成软件需求的系统分析。 4、完成软件的编制(PLC的编程和说明。 5、绘制系统总体结构图,系统原理图,电气控制原理图,软件流程图。 6、按期完成毕业设计说明书的撰写。 7、充分准备,顺利完成答辩。 六、毕业设计提交的成果 1、设计说明书(约1万字左右 2、图纸 3、中、英文摘要(中文摘要约200字,3~5个关键词 七、毕业设计的主要参考文献和技术资料 [1]张桂香,《电气控制与PLC的应用》,化学工业出版社

全自动洗衣机plc程序设计汇编

《机电传动控制》 课程设计说明书 院系班级 姓名 学号 指导老师

摘要 随着人们生活水平的不断提高,洗衣机已经作为一件必备实用的家用电器进入了千家万户。人们对洗衣机依赖性的加强也必然要求着洗衣机的各相性能得到同步加强,要求着洗衣机适应各种不同使用环境的能力得到进一步提升。近年来,可编程控制器在我国的迅速发展,它所具有的功能性强、可靠性高、配制方法灵活等特点是其它控制器所无法匹敌的,因此,它被越来越广泛的应用于各类工业控制领域并加快了向民众生活进军的步法。 本文讲述的是如何利用PLC 实现全自动洗衣机的控制,实现洗衣、清水、脱水的全自动控制。本文结合任务设计书的要求,以洗衣机为研究对象,采用了三菱公司FX2n系列可编程逻辑控制器作为洗衣机的控制器。对洗衣机的控制系统的总体功能进行了分析,阐述了可编程逻辑控制器的组成和工作原理。并提出了洗衣机硬件的各组成模块及详细的硬件模块设计方案。本设计改善了洗衣机系统的控制品质,并真正地达到了实时全自动控制的要求。 关键字:洗衣机;全自动;可编程逻辑控制器;控制

目录 一、绪论 (4) 1.1、全自动洗衣机的应用现状 (4) 1.2、设计全自动洗衣机的意义 (4) 1.3、全自动洗衣机主要实现功能 (4) 二、硬件电路的实现 (5) 2.1 主控电路设计 (5) 2.1.1 PLC简介 (5) 2.1.2 控制器I/O口分配表 (7) 2.1.3 全自动洗衣机PLC控制的硬件图 (8) 2.2 单相异步电动机驱动控制电路设计 (8) 2.3 报警电路设计 (10) 2.4 进排水控制电路设计 (11) 2.4.1 进水阀阀门简介 (11) 2.4.2 进水阀门控制电路设计 (13) 2.4.3 排水阀门简介 (14) 2.4.4 排水阀门控制电路设计 (15) 2.5 水位检测电路设计 (16) 三、软件程序实现 (17) 3.1 软件开发环境简介 (17) 3.2 全自动洗衣机程序流程图 (17) 3.3全自动洗衣机功能梯形图编程实现 (19) 3.4 系统调试....................................................................................................................... - 4 - 结束语 . (5) 参考文献 (6) 致谢 ...................................................................................................................错误!未定义书签。附录 ...................................................................................................................错误!未定义书签。 指令表清单: (21)

PLC全自动洗衣机课程设计报告书

目录 前言 (1) 第一章全自动洗衣机控制系统方案 (2) 1.1 PLC控制系统的设计的基本准则 (3) 1.2 PLC的简要介绍 (4) 1.3 PLC的控制特点 (5) 1.4洗衣机的PLC控制系统 (6) 1.5控制系统理论 (7) 1.6主电路原理图………………………………………. 第二章可编程控制器的硬件设计…………………… 2.1可编程控制器的选择…………………………….. 2.2可编程控制器I/O口分配表……………………… 2.3外部接线图…………………………………….. 第三章可编程控制器的软件设计……………………. 3.1 全自动洗衣机的控制要求…………………………3.2 洗衣机工作流程图…………………………………3.3控制系统的梯形图……………………………….. 第四章课程设计体会………………………………… 参考文献………………………………………………..

前言 随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。洗衣机的全自动化、多功能化、智能化是其发展方向。基于全自动洗衣机的应用日益广泛,利用PLC控制,与传统的继电器逻辑控制系统相比较,其特点是能自动完成洗涤,漂洗和脱水的转换,整个过程不需要人工操作,可靠性、节能性得到了提高。PLC控制不需要大量的活动部件和电子元器件,它的接线也大大减少,与此同时系统维修简单、维修时间缩短。

波轮式全自动洗衣机毕业设计

衢州学院 毕业设计(论文) 题目:波轮式全自动洗衣机传动机构的设计作者:朱方博 系(部):机械工程学院 专业班级:机械设计与制造08级(1)班 指导教师:徐惠敏 职称:讲师 二О一一年一月二十日

衢州学院机械工程学院毕业设计(论文)任务书

波轮式全自动洗衣机传动机构的设计 摘要 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗衣机的操作更方便、更人性化,根据论文的要求主要对机械相关内容进行设计,而相关机械部分包括减速器的结构设计,带轮设计,刹车装置的设计及齿轮减速器的设计。 波轮是洗衣机工作过程中主要的工作零件。电动机通过V带将动力传递给传动系统,最终通过波轮带动水进行洗涤操作。波轮结构对洗衣机的洗涤效果有直接影响。波轮采用碗形结构。该结构使水产生垂直和水平两种水流,在两种水流的联合作用下,使衣服洗的更干净,更均匀,且衣服不易缠绕。 论文主包括设计波轮式全自动洗衣机传动系统的结构、传动系统的组成、电机参数的选择、减速离合器的设计及工作原理;并掌握机械传动系统设计计算、传动方案的设计、基本参数的选择、V带传动的设计计算、带轮的结构设计、行星减速器的设计、棘爪与棘轮机构的设计。 关键词:波轮式全自动洗衣机,传动机构,工作原理,减速离合器

目录 第一章绪论 (1) 1.1全自动洗衣机的现状及发展方向 (1) 1.2波轮式全自动洗衣机的总体结构 (2) 1.3本课题解决的主要问题 (3) 第二章波轮式全自动洗衣机传动系统的结构 (4) 2.1传动系统的组成 (4) 2.2 电机参数的选择 (4) 2.3 减速离合器的设计及工作原理 (5) 第三章机械传动系统设计计算 (9) 3.1 传动方案的设计 (9) 3.2带传动的类型和结构 (9) 3.3 V带轮以及V带传动的设计计算 (11) 3.4带轮的结构设计 (16) 3.5行星减速器的设计 (17) 3.6棘爪与棘轮机构的设计 (18) 第四章总结 (19) 参考文献 (20) 致谢 (21)

基于mcgs组态的全自动洗衣机课程设计

基于mcgs组态的全自动洗衣机课程设计 摘要:随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国内家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗对衣机的操作更方便、更人性化,本论文就怎样利用MCGS来仿真来控制全自动洗衣机进行了调查,对其中程序、动画效果等问题进行了分析和研究,实现了全自动洗衣机的正常运行和强制性停止功能。 关键词:全自动洗衣机;控制 ABSTRACT with the development of social economy and improvement of science and technology, the family electric appliance entire automation becomes the inevitable development tendency. Full automatic washing machine produced a great convenience to people's life. Washing machine is the domestic electrical appliances industry does not only make price war industry, after several years of steady development, domestic washing machine both in quality or function and world advanced level synchronization. The washing machine market, high efficiency and energy saving, water saving, energy saving, environmental protection type washing machine always occupy the dominant position in the market.Although the washing machine has a great development, but consumer demand increases. In order to make the washing of clothing machine operation more convenient, more humane, the paper on how to use MCGS to simulation to control the automatic washing machine was investigated, the program, animation and other problems are analyzed and studied, realize the full automatic washing machine and the normal operation of the

数字电路实验 洗衣机程序设计

1 数字电路与逻辑设计实验报告 题目: 洗衣机控制器设计

2 一、洗衣机控制器要求 设计制作一个全自动洗衣机的控制器: _ 洗衣机的工作步骤为洗衣、漂洗和脱水三个过程,工作时间分别为:洗衣20 秒,漂洗30 秒,脱水15 秒; _ 用一个按键实现洗衣程序的手动选择:A 、单洗涤;B 、单漂洗;C 、单脱水; D 、漂洗和脱水;E 、洗涤、漂洗和脱水全过程; _ 用显示器件显示洗衣机的工作状态(洗衣、漂洗和脱水),并倒计时显示每个 状态的工作时间,全部过程结束后,应提示使用者; _ 用一个按键实现暂停洗衣和继续洗衣的控制,暂停后继续洗衣应回到暂停之前 保留的状态; _ 选做:三个过程的时间有多个选项供使用者选择。 _ 选做:可以预约洗衣时间。 _ 选做:自拟其它功能。 二、系统设计基本思想 电路由模式选择、计数器、报警模块以及译码驱动电路和数字显示电路等模块组成。 1、模式选择模块 五种洗衣模式可供用户选择,模式选择模块将用户的选择信息传递到控制模块。 2、减计数计数器模块计数器 洗衣以倒计时模块的方式提示用户当前剩余的洗衣时间,该计数器能读取不同的模值进行计 数。计时单位为一秒钟。 3、译码和数码显示电路 译码和数码显示电路是将计时状态直观清晰地反映出来,被人们的视觉器官所接受。显示器件选用LED 七段数码管。在译码显示电路输出的驱动下,显示出清晰、直观的数字符号。 4、报警模块 当系统运行到“报警”状态时,蜂鸣器将会报警,时间为5 秒。 4、分频器模块 设计一个大小合适的分频器使得系统能够正确的实现一秒的计时。 三、总体设计图以及转移图 流程图示:

全自动洗衣机毕业设计(论文)

全自动洗衣机毕业论文 第一章绪论 1.1全自动洗衣机的现状及发展方向 19世纪90时代脱颖而出的第一个新兴家用电器产品是洗衣机。70年代初年全国产量仅百台,70年代末年达到万台,到80年代年全国产量百万台,产量居世界之冠。这一时期以我国以自主研发洗衣机为主,生产企业也以国营和集体企业为主体,产品品种和质量水平与国际水平相差甚远。 随着我国改革开放的力度进一步加大,从而推动了家用全自动洗衣机发展行业的快速发展。到1985~1986年,已经达到空前未有的规模,几乎所有的专业厂都引进了国外的技术。当时日本技术是我国引进的主要对象,其中松下、东芝、三洋、夏普、日立技术都是引进的主要厂家。通过技术及生产设备的引进,大大加快了行业发展步伐,产品品种和质量大幅度提高。1988年全国总产量突破了一千万台大关,1989年达到最高峰的1046.7万台。此时,我国家用电动洗衣机产品仍以双桶洗衣机为主,同时套桶全自动洗衣机开始崭露头角,但全自动滚筒式洗衣机只有一家企业生产。到1990年全国共有59个家用电动洗衣机专业生产厂,除西藏以外的各省均有生产企业,家用电动洗衣机生产达到了空前的规模,生产企业数量和产量在世界上都是第一,产品质量也达到国外八十年代初期水平。 而现在的洗衣机真的是多种多样,在上海这样的大城市,双缸洗衣机购买的人已经是越来越少了,滚筒洗衣机和波轮洗衣机将成为市场的主流,使用洗衣机就是图个方便省力,现在的全自动洗衣机都符合人们的要求。那么洗衣机还会怎样进步或发展呢?归纳起来,有如下几个趋势。 高度自动化:现在洗衣机越来越高度自动化,只要衣服放入洗衣机,简单的按两个键,就会自动注水,一些先进的电脑控制洗衣机,还能自动的感觉衣物的重量,自动的添加适合的水量和洗涤剂,自动的设置洗涤的时间和洗涤的力度,洗涤完以后自动的漂洗甩干,更有些滚筒洗衣机还会将衣物烘干,整个洗衣的过程完成以后还会用动听的音乐声提醒用户,用户可以在洗衣的过程做其它的事,节省了不少的时间。总之,每一项技术的进步部极大地推动了洗衣过程自动化程度的提高。 健康化:现代人对健康格外的重视,对洗衣机也提出了更高的要求,有的洗衣机厂家采用纳米内桶,减少污垢附着,有的洗衣机设置有改进型漂洗程序,彻底漂净衣物上残留的洗涤剂,防止对人体的侵害。还有一些洗衣机采用臭氧进行

全自动洗衣机控制程序编程流程图

全自动洗衣机控制程序编程流程图 具体要求可以去我空间里看,不用具体编程。请写得详细点。 编写、调试程序并与虚拟负载系统联调。 1、知识点:锻炼单片机编程软件使用、单片机汇编语言编程或单片机C语言编程、单片机仿真器及下载线的使用。 2、编程与调试方式 单片机烧录采用JTAG在线下载方式,编程软件采用Kiel uVision3。 3、编程要求 1)总体程序控制 序号名称功能要求说明 1 电源控制电源开关按键控制循环开关机。默认模式: 洗涤选择:标准 洗衣时间:6 清洗选择:一清 脱水时间:3 2 洗涤模式设定打开电源在默认模式状态,在非洗涤状态下可进行模式设定。 3 洗涤控制启动暂停按键控制洗衣机按照洗涤模式执行洗涤程序,暂停后保留现场运行参数。洗涤过程中,不能执行模式设定。 4 复位控制复位按键控制程序重新启动。 5 洗衣机开门控制打开洗衣机门电机停止运行,保留现场运行参数。 2)洗涤程序选择: 序号名称功能要求说明 1 牛仔电机正转20秒,反转20秒,全水位时启动,对应模拟量1V。洗涤选择按键循环选择,对应指示灯亮,洗涤时对应指示灯闪烁,闪烁周期2秒,占空比50%。虚拟面板与目标板指示灯同步显示。 2 标准电机正转15秒,反转15秒。 3/4水位时启动,对应模拟量0.75V。 3 轻柔电机正转10秒,反转10秒。 1/2水位时启动,对应模拟量0.5V。 4 羊毛电机正转5秒,反转5秒。 1/2水位时启动,对应模拟量0.5V。 3)洗涤时间选择 序号名称功能要求说明 1 1 2 电机持续运转时间4分钟。洗涤时间选择按键循环选择,对应指示灯亮,洗涤时对应指示灯闪烁,闪烁周期2秒,占空比50%。虚拟面板与目标板指示灯同步显示。 2 9 电机持续运转时间3分钟。 3 6 电机持续运转时间2分钟。 4 3 电机持续运转时间1分钟。 4)清洗选择 序号名称功能要求说明 1 溢注洗涤后不排水,结束并报警提示清洗选择按键循环选择,对应指示灯亮,清洗时对应指示灯闪烁,闪烁周期2秒,占空比50%。虚拟面板与目标板指示灯同步显示。 2 一清洗涤后排水,再进水,执行1次清洗,清洗时间1分钟,正反转安洗涤选择程序执

自动洗衣机课程设计报告

课程设计 课程名称: 学院:专业: 姓名:学号: 年级:任课教师: 年月日

文档编写格式,本页在报告完成后删除目录为参考格式,可根据具体情况修改,目录应为超链接形式。正文中文为宋体小四,其他(包含程序字体)为Consolas,段落间距1.3。除在附录总附上图纸外,还需要打印A3大小图纸两张,内容包括:1、控制电路设计原理图,绘制软件为Altium Designer,右下角包含以下信息:大图名课程名称指导教师制图人班级学号姓名制图时间2、系统仿真图(proteus),如无仿真则不用,但需绘制系统PCB(Altium Designer)。 原理图例:

目录 目录 键入章标题(第1 级) (1) 键入章标题(第2 级) (2) 键入章标题(第3 级) (3) 键入章标题(第1 级) (4) 键入章标题(第2 级) (5) 键入章标题(第3 级) (6) 1.1设计背景.............................................................................X 1.2设计要求.............................................................................X 1.3设计思路简介.........................................X 第2章系统开发平台与环境.................................X 1.1 XXXXXXXXX开发环境简介.........................................X 2.2 XXXXXXXXX开发环境简介............X ............. ............. ............. 第3章XXXX硬件系统设计过程...............................X 3.1 XXXX硬件系统总体框图 .................................X 3.2 XXXX硬件系统元件选型 .................................X

全自动洗衣机课程设计论文值得参考

内容摘要 本次课程设计的主要任务是全自动洗衣机控制系统设计,主要目的是采用可编程控制器(PLC)作为核心控制部件的全自动洗衣机控制系统的设计,这不仅是对所学知识的检验,更是对所学知识的巩固。本文主要介绍了洗衣机的结构,对全自动洗衣机的控制系统进行了分析,在此基础上提出了基于可编程控制器(PLC)的全自动洗衣机控制方案,并对方案进行了论证,根据洗衣机的工作原理,设计了流程及程序,对按钮及其它一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于洗涤,排水,脱水的时间均由PLC内计计时器控制,所以只要改变计时器参数就可以改变时间。我所设计的全自动洗衣机控制系统具有智能化程度高、安全可靠、方便、灵活等特点,满足本次课程设计的要求。 关键词:可编程控制器;全自动洗衣机;I/O分配表;

目录 第一章引言 (1) 第二章系统总体方案设计 (2) 2.1 系统硬件配置 (2) 2.2 系统组成原理 (4) 2.3 系统可靠性设计 (5) 第三章PLC控制系统设计 (7) 3.1 控制要求分析,设计主电路 (7) 3.2 确定I/O信号数量,选择PLC类型 (11) 3.3 PLC主机I/O分配表 (13) 3.4 PLC主机I/O接线图 (14) 3.5 控制程序的编制 (15) 3.6 控制程序的调试 (21) 结论 (25) 设计总结 (26) 谢辞 (27) 附录 (28) 参考文献 (29)

第一章引言 从古到今,洗衣服都是一项难于逃避的家务劳动。然而在洗衣机出现以前,对于许多人而言,它并不像田园诗描绘的那样充满乐趣,手搓、棒击、冲刷、甩打,这些不断重复的简单的体力劳动,常常留给人的感受是:辛苦劳累。于是人类想怎样用身边的材料来帮人们干活,来减轻人们的体力劳动。 洗衣机就是人类智慧的结晶,它用来帮助人们解脱双手节省体力。随着时代的发展,人们并不满足那些简单的机器,只想要把脏衣物放进去,最后出来的就是一件干干净净、毫无损伤并且可以直接穿的衣服。人类凭借智慧和勤劳,终于就产生了现在的全自动洗衣机。 这篇设计论文是以西门子S7-200为主要载体,结合它对应的编程软件来对全自动洗衣机的控制进行编程,我不仅对它的硬件做了一些认知,还对这样一个程序对应的接口做了些了解。 根据全自动洗衣机的工作原理,利用可编程控制器PLC实现控制,说明了PLC控制的原理方法,特点及控制洗衣机的特色。全自动洗衣机控制系统利用了西门子S7-200系列PLC的特点,对按钮、电磁阀、开关等其他一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于每遍的洗涤,排水,脱水的时间由PLC内定时器和计数器控制,所以只要改变定时器和计数器参数就可以改变时间。我们可以把上面设定的程序时间定下来,作为固定程序使用,充分表现现代家电品的实用性。 在PLC问世之前,尤其是在洗衣机控制方面,工业控制领域中是继电器占主导地位。但是继电器控制领域有着十分明显的缺点:体积大、耗电多、可靠性、寿命短、运行速度慢、适应性差、尤其当生产工艺发生变化时,就必须重新设计、重新安装,造成时间和资金的严重浪费。为了改变这一现状,PLC控制系统产生了。继1969年美国数字设备公司研制出世界第一台PLC,并且在通用汽车公司自动装配线上试用获得了成功,从而开创了工业控制新时期,从此可编程控制器这一新的控制技术迅速发展起来了。这一技术在许多领域都有广泛的应用,洗衣机当然也不例外。PLC的优点是:可靠性高,耗电少,适应性强,运行速度快,寿命长等。为了进一步提高全自动洗衣机的功能和性能,避免传统控制的一些弊端,就提出了用PLC来控制全自动洗衣机这个课题。

全自动洗衣机程序设计

全自动洗衣机程序设计 第一部分总体思路 全自动洗衣机的工作原理:开始-进水-洗衣-排水-脱水-结束 第二部分电气设计部分 如下图为全自动洗衣机的PLC控制系统电路图。通过PLC来实现电动机的正反转,并且实现洗衣机按预先设置的程序自动执行,完成洗衣。当需要手动排水与脱水时,可强制止自动程序的运行,跳出自动切换到手动操作。 为防止全自动洗衣机在工作过程中,电路发生短路,损坏电动机和电路中的各种电气设备,因此在主电路中安装了熔断器,当电路出现短路故障时,能迅速、可靠的断开电源。 全自动洗衣机在无人问津的情况下可能长时间运行,为防止电机绕组的温升超过额定值而损坏,采用热继电器作为保护元件,与熔断器搭配使用,可靠地保护电动机。 人机接口部分的按钮等都选择低压电器元件,保护操作者的安全。 第三部分 PLC设计部分 3.1正常运行流程图如下图所示。

3 2强制运行流程图如下 1)程序的构成 这个程序有自动方式和手动方式两种。在自动方式下,PLC将运行已经设置好的程序和参数(适用于机械一切都正常工作的情况下)。在手动方式下是在紧急停止情况下,可以手动排水和脱水。 2)程序的下载、安装和调试

将各个输入输出端子和实际控制系统中的按钮。所需控制设备正确连接,完成硬件的安装。全自动洗衣机程序是由GX Developer软件的指令完成,正常工作是程序存放在存储卡中,若要修改程序,先将PLC设定在STOP状态下,运行GX Developer编程软件,打开全自动洗衣机程序,即可在线调试,也可用编程器进行调试。 第四部分全自动洗衣机控制系统PLC程序 4.2.1系统资源分配 1.数字量输入部分 这个控制系统的输入有启动按钮、停止按钮、水位选择开关(高水位、中水位、低水位)、手动排水、自动排水开关、高水位浮球开关、中水位浮球开关,低水位浮球开关、水排空浮球开关、压力开关共12个。具体的输入地址分配如表4.1所示。 表4.1 输入地址分配 X001 SB1 启动按钮 X002 SB2 停止按钮 X003 SB3 高水位选择开关 X004 SB4 中水位选择开关 X005 SB5 低水位选择开关 X006 SQ1 水排空检测开关 X007 SQ2 高水位检测开关 X010 SQ3 中水位检测开关 X011 SQ4 低水位检测开关 X012 SB6 手动排水 X013 SB7 手动脱水 X014 SP1 压力开关 这个控制系统需要控制的外部设备有进水电磁阀、排水电磁阀、洗涤电动机、脱水桶、报警器共五个设备。但是由于洗涤电动机有正转和反转两个状态,分别

PLC专业课程设计全自动洗衣机梯形图

PLC专业课程设计全自动洗衣机梯形图

电气控制与PLC 课程设计 题目: 全自动洗衣机梯形图控制系统设计 院系名称:机电工程学院 专业班级: 09机械电子工程 学生姓名: 学号: 指导教师: xx 设计地点: xxx 设计时间: xxxx

摘要 随着社会的不断发展,全自动洗衣机已经越来越普遍的应用在人们生活当中,其控制方式也是多种多样,各有千秋。 本文是基于三菱FX2N系列可编程控制器的全自动洗衣机梯形图控制系统的设计,三菱FX2N系列可编程控制器指令丰富,编程容易,功能扩展方便,修改灵活,而且结构简单,抗干扰能力强,可以接各种输出、输入扩充设备,有丰富的特殊扩展设备,其中的模拟输入设备和通信设备更是符合全自动洗衣机控制系统的要求与特点。 本文选择三菱FX2N-24MR为核心部件,着重进行硬件接口设计,利用梯形图和语句表进行编程,实现了全自动洗衣机控制系统的自动化。 关键词:PLC;步进梯形图;顺序控制

目录 1 引言 0 系统背景描述 0 系统控制要求 (1) 2. 系统设计方案 (2) 系统功能描述 (2) 方案的论证 (4) (5) 3 硬件电路设计 (6) PLC选型 (6) 水位传感器的选择 (6) 接触器的选择 (7) 继电器的选择 (7) 进水阀的选择 (7) 排水阀的选择 (8) 电动机的选择 (8) I/O点分配 (10) I/O接线图 (11) 4软件设计 (12) 控制方案 (12) 全自动洗衣机控制程序流程图 (13) (14) 中间变量的记录 (16) 系统调试 (17) 设计心得 (18) 参考文献 (19) 附录指令表视图 (20)

相关文档
最新文档