基于FPGA的方波信号发生器.

基于FPGA的方波信号发生器.
基于FPGA的方波信号发生器.

EDA课程设计实验报告基于FPGA的方波信号发生器

设计内容:基于FPGA的方波信号发生器

代课老师:

学号:

姓名:

专业:电子与通信工程

摘要:本设计是采用了EDA技术设计的方波信号发生器。实现是基于FPGA语言描述正弦波基波和多次谐波叠加模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由正弦波产生模块、数码管显示模块、波形频率控制和波形幅度控制四个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以通过多次谐波叠加形成方波,并通过频率控制和幅度控制改变方波波形。

关键字:VHDL;QuartusⅡ;Cyclone;函数信号发生器

1、Quartus II软件简介

1.1 Quartus II软件介绍

Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。

Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF 网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

1.2 Quartus II软件设计流程

(1)打开Quartus II软件。

(2)选择路径。注意:工作目录名不能有中文。

(3)添加设计文件。

(4)选择FPGA器件。Family选择Cyclone,240,8。

(5)建立原理图或用VHDL语言描述设计电路。

(6)对原理图或用VHDL语言进行编译,无误后进行添加信号。

(7)对上述电路进行仿真。

(8)进行管脚分配。

(9)全局编译,采用JTAG或者AS模式进行下载测试.

2、系统设计方案

采用现场可编程逻辑阵列(Field Programmable Gate Array ,FPGA)设计DDS 电路比专用DDS 芯片更具灵活性。因为只要通过改变FPGA 内部波形存储器中的波形数据,就可以实现任意波形输出,这使得用FPGA 来实现DDS 具有相当大的灵活性。相比之下,FPGA 所能实现的功能完全取决于设计需求,可以简单也可以复杂,另外,FPGA 芯片还支持在系统升级,虽然在精度和速度上略有不足,但是基本上能满足绝大数系统的要求,并且,将DDS 设计嵌入到FPGA 内部所构成的系统中,其系统成本并不会增加多少,而专用DDS 芯片的价格一般也比FPGA 高。因此,采用FPGA 来设计DDS 系统具有较高的性价比。

本设计利用正弦波的基波和多次谐波合成方波,通过相关按键来调节方波的频率相位和幅度,并在数码管上显示出来。

图1

2.1方波分解为多次正弦波之和的原理

代表周期性方波信号的函数()f t 满足狄利克雷条件,即方波可以表示为多次正弦波之和。如图2所示方波信号,其周期为2且正半周期负半周期是形状全同的矩形,在区间(0,2)内可用函数表示为:

1(01)

()1

(12)

t f t t ?<

-<

若将()f t 展开为三角傅里叶级数,即将()f t 分解为多次正弦波之和,则有式(13)、式(14)可知,在区间(0,2)内,如图1 所示的周期为2的方波信号的

0a ,

n a ,n b 的值分别为:

0a =2

02()02f t dt =? n a =202()cos 02f t n tdt ω=?

n b =202()sin 0

2f t n tdt n ω=?当为偶数

图2

202()sin 4/n 2

n b f t n tdt n ωπ==?当为奇数

则在区间(0,2)内()f t 可表示为:

4111()(sin sin 3sin 5sin 7573f t t t t t πππππ=+++???)

即周期为2s 的方波信号中含有大量的正弦波,其频率分别为1/2,3/2,5/2,7/2···其中频率为1/2的正弦波称为基波,其他频率的正弦波称为谐波。即一周期性方波,可表示为基波与无穷多谐波之和。

实用中进行信号分析时,不可能取无穷多次谐波之和,而只能用有限项来近似表示。这样就无法避免有一误差()t ε,如果将基波加到n 次谐波之和后的函数表示为()n f t ,则有()f t =()n f t +()t ε,即()t ε=()f t -()t ε。这里采用基波和3、5、7次谐波来合成方波。

2.2 DDS 波形发生器产生正弦波

直接数字频率合成器DDS (Direct Digital Synthesizer )是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器由相位累加器、波形ROM 、D/A 转换器和低通滤波器构成。DDS 的原理框图如图3所示:

图3

其中K为频率控制字、f

C

为时钟频率,N为相位累加器的字长,D为ROM数

据位及D/A转换器的字长。相位累加器在时钟f

C

的控制下以步长K作累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S(n)经D/A转换器变成阶梯波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。这里我们用DDS实现正弦波的合成。

2.2.1.频率预置与调节电路

不变量K被称为相位增量,也叫频率控制字。DDS方程为:f

0=f

C

K/2N,f

输出频率,f

C

为时钟频率。当K=1时,DDS输出最低频率(也即频率分辨率)为

f C /2N,而DDS的最大输出频率由Nyquist采样定理决定,即f

C

/2,也就是说K

的最大值为2N-1。因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频率,只要改变频率控制字K即可。

2.2.2相位累加器

相位累加器是整个DDS的核心,它由一个加法器和一个寄存器构成。加法器的一个输入与寄存器输出相连,另一个输入是外部输入的频率控制字。这样,在每个时钟到达时,相位寄存器采样上个时钟周期内相位寄存器的值与频率控制字之和,并作为相位累加器在这一时钟周期的输出。频率控制字决定了相应的相位增量,相位累加器则不断地对该相位增量进行线性累加,当相位累加器加满量时就会产生一次溢出,从而完成一个周期性的动作,这个动作周期即是DDS合成信号的一个频率周期。

图4

2.2.3波形ROM查找表

波形ROM模块由ROM:1-port宏模块生成,其地址线的位宽为9位,数据线

的位宽为8位,即一个周期的波形数据有512个,每个数据占8位,其输出可直接DAC0832。ROM中的MIF数据文件可使用Mif_Maker2010.exe软件生成。

图5

2.3 按键控制设计

按键的功能主要实现控制频率和振幅,消除防抖动等.其工作流程如图6所示.

图6

2.4 D/A数模转换模块设计

产生的数字正弦波需要经过数模转换成模拟输出,这里设计出8位的D/A转换器,相关代码和电路如图7所示

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity dac0832 is

port

(

clk :in std_logic;

WR_n :out std_logic;

datin:in std_logic_vector(7 downto 0);

Analog_D:out std_logic_vector(7 downto 0)

);

end dac0832;

architecture rt1 of DAC0832 is

begin

WR_n <= '0' ;

process (clk )

begin

if clk'event and clk = '1' then

Analog_D <= datin;

end if;

end process ;

end rt1;

图7

2.5数码管显示设计

数码管动态扫描,通过四段数码管对输出波形频率和幅度进行调节,期中数码管的其工作流程图如图8所示.

图8

3.系统调试和实验结果

利用正弦波的基波和多次谐波合成方波,通过相关按键来调节方波的频率和幅度,并在数码管上显示出来。方波经过调幅调频调相后的对比图如下图所示.

原图

调频

振幅

相位

4.设计总结

FPGA是当前数字系统设计领域比较火热的一种工具,它可以大大缩短设计需要的时间,降低成本的同时也提高了系统的稳定性。使用VHDL语言描述硬件系统使得FPGA技术有了更广阔的应用领域。本设计使用了基于Altera公司的FPGA 系列,采用Altera公司提供的系统开发工具Quartus II软件进行了系统的设计

和仿真。对FPGA一直都有着浓厚的兴趣,借做课设的机会,认真的研究了一下

这门科学。发现FPGA技术比我想象中的要有很大的难度。里面有很多的思想来源于信息电子技术里面的基本知识,包括门电路的概念以及寄存器传送的基本知识。VHDL语言与C语言有很大的不同,但是C语言的编程思想也可以移植到VHDL 语言中,尤其是一些逻辑算法的设计,需要有很强的C语言编程功底。学习一门知识要从最基本的体系架构开始,倘若一开始就从顶层设计入手,就会造成很多基本原理、基本概念上的偏差,甚至会拖延学习设计的时间,事倍功半。虽然课设完成了,但是我意识到,我对FPGA技术仅仅只是停留在入门的阶段,想要有更大的发展,更深入的研究,还需要更多的努力与实践。

参考文献

【1】潘松黄继业. EDA技术与VHDL(第二版).北京:清华大学出版社,2005.7

【2】付家才. EDA工程实践技术.北京:化学工业出版社,2007.1

【3】汉泽西. EDA技术及其应用.北京:北京航空航天大学出版社,2004.5

【4】Douglas L.Perry.VHDL编程实例(第四版)[M].北京:电子工业出版社.

【5】赵新民.智能仪器设计基础[M].哈尔滨:哈尔滨工业大学出版社.

【6】卢毅,赖杰.VHDL与数字电路设计[M].北京:科学出版社,2001:256-261.

【7】褚振勇,翁木云.FPGA设计及应用[M].西安:西安电子科技大学出版社,2003:1-22.

方波_三角波_正弦波_锯齿波发生器

X X X X X X X大学 课程设计报告 课程名称:电子技术基础 设计题目:方波三角波正弦波锯齿波函数发生器 系别: 专业: 班级: 学生姓名: 学号: 同组同学: 学号: 指导教师: XXXX大学XXXX学院 XXXX年月日

摘要 波形函数信号发生器广泛地应用于各场所。函数信号发生器应用范围:通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域,而我设计的正是多种波形发生器。设计了多种波形发生器,该发生器通过将滞回电压比较器的输出信号通过RC电路反馈到输入端,即可组成矩形波信号发生器。然后经过积分电路产生三角波,三角波通过低通滤波电路来实现正弦波的输出。其优点是制作成本低,电路简单,使用方便,频率和幅值可调,具有实际的应用价值。 函数(波形)信号发生器。能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途 而因此电子专业的学生,对函数信号发生器的设计,仿真,制作已成为最基本的一种技能,也是一个很好的锻炼机会,是一种综合能力的锻炼,它涉及基本的电路原理知识,仿真软件的使用,以及电路的搭建,既考验基础知识的掌握,又锻练动手能力。 关键词:振荡电路;电压比较器;积分电路;低通滤波电路

目录 · 设计要求 (1) 1.前言 (1) 2方波、三角波、正弦波发生器方案 (2) 2.1原理框图 (2) 3.各组成部分的工作原理 (3) 3.1方波发生电路的工作原理 (3) 3.2方波--三角波转换电路的工作原理 (4) 3.3三角波--正弦波转换电路的工作原理 (5) 3.4方波—锯齿波转换电路的工作原理 (6) 3.5总电路图 (7) 4.用Multisim10电路仿真 (8) 4.1输出方波电路的仿真 (8) 4.2三角波电路的仿真 (9) 4.3正弦波电路的仿真 (10) 4.4锯齿波电路的仿真 (11) 5实验总结 (11) 6.仪器仪表清单 (13) 7.参考文献 (13) 8.致谢 (13)

几种简单的函数信号发生器电路图分析

几种简单的函数信号发生器电路图分析 时间:2012-01-10 15:30 作者:赛微编辑来源:赛微电子网 引言 随着模拟电路技术和电力电子技术发展,电路设计中对信号的精度、稳定性、抗干扰能力等要求进一步提高,电子行业中将一些功能进行集成到IC芯片供其他的厂家来使用。在电路设计中,我们除了正常的电源输入之外,还需要提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形来给某个电路提供输入。 这种可以提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形的电路或者仪器(函数信号发生器的种类),我们可以称之为函数信号发生器,它对电子工程师设计的整个系统来说,发挥着重要的作用,它具有各种内置信号、自定义的任意波形和脉冲能力,能帮助您验证设计,检验新的构想,从而让整个设计更具有可靠性。 本文结合几种简单的函数信号发生器电路图,并对其工作原理(函数信号发生器原理)、可以实现的功能和性能、电路特点等方面做了详细的分析,供电子发烧友参考。 程控函数信号发生器电路图 它主要由主控制器LPC2114、MAX038、D/A转换器以及八选一模拟开关CD4051LED显示、键盘、波段切换,波形处理和峰值检波等部分组成,研究了LPC2114通过D/A转换器实现对MAX038频就绪和占空比的调控方法,并给出

了在0.1Hz~20MHz内产生精确的正弦波、方波和三角波的方法。此外,它还具有可调范围大、精度高、信号稳定等特点,可以应用于各种电子测量和控制场合。 LPC2114主要通过D/A转换器TLC5618、DAC0832和八选一模拟开关CD4051对MAX038输出的波形、频率以及占空比进行控制。通过对A1和A0端的不同设置来选择不同的波形。当A1为高电平、A0为任意时,输出波形为正弦波;当A1、A0同时为低电平时,输出波形为方波;当A1为低电平、A0为高电平时,输出波形为三角波。 MAX038输出波形的幅值为2 V(P-P),最大输出电流为+20 mA,输出阻抗的典型值为0.1 Ω。可直接驱动100 Ω的负载。为了得到更大的输出幅度和驱动能力,就需要对波形信号作进一步处理,下图为一个波形输出与驱动电路。

信号发生器电路的焊接与调试-电路图

一、信号发生器电路安装与调试考核评分表 准考证号姓名规定时间分钟 开始时间结束时间实用时间得分 考核内容及要求配分评分标准扣分 1 元器件清点检查:在10分钟内对所有元 器件进行检测,并将不合格元器件筛选出来进 行更换,缺少的要求补发。 10 超时更换或要求补发按损坏 元件扣分,扣3分/个。 2 安装电路:按装配图进行装接,要求不装 错,不损坏元器件,无虚焊,漏焊和搭锡,元 器件排列整齐并符合工艺要求。 30 漏装,错装或虚焊、漏焊、 搭锡,扣2分/个,安装不整 齐和不符合工艺要求的扣1 分/处,损坏元件扣3分/个。 3 电源电路:接通交流电源,测量交流电压 和各直流电压+12V、-12V、V CC 、-5V。 信号发生器电路:接通+12V、-12V、V CC 、 -5V电源。测量函数信号波形:方波、正弦波、 三角波形。 20 电压测试方法不正确扣10 分,测量值有误差扣5分。 4 选择C=10uf,调节RW13、RW14、RW15, 记录方波的占空比: 1、 2、 3、 10 不会用示波观察输出信号波 形扣10分, 调节不正确扣5分, 波形记录不正确扣5分。 5 改变电容:100nf——100uf,并调节RW11, 记录正弦波输出频率f: 1、 2、 3、 10 最大不失真电压测试方法不 正确扣5分,测量值不准确 扣5分,不会计算最大不失 真功率扣5分。 6 调节RW21、RW22, 记录正弦波输出Vpp: 1、 2、 3、 10 不会测试功放电路的灵敏度 扣5分,不会计算电压放大 倍数扣5分。 7 调节电位器RW16、RW17, 记录正弦波形的失真: 1、 2、 3、 10 测量方法不正确扣5分, 测量数据每处2分,不会绘 制频响曲线扣5分 开始时间:结束时间:实用时间:

信号发生器的基本参数和使用方法

信号发生器 本人介绍一下信号发生器的使用和操作步骤. 1、信号发生器参数性能 频率范围:0.2Hz ~2MHz 粗调、微调旋钮 正弦波, 三角波, 方波, TTL 脉波 0.5" 大型 LED 显示器 可调 DC offset 电位 输出过载保护 信号发生器/信号源的技术指标: 波形正弦波, 三角波, 方波, Ramp 与脉波输出 振幅>20Vp-p (open circuit); >10Vp-p (加 50Ω负载) 阻抗50Ω+10% 衰减器-20dB+1.0dB (at 1kHz) DC 飘移<-10V ~ >+10V, (<-5V ~ >+5V 加 50Ω负载) 周期控制 1 : 1 to 10 : 1 continuously rating 显示幕4位LED显示幕 频率范围0.2Hz to2MHz(共 7 档) 频率控制Separate coarse and fine tuning 失真< 1% 0.2Hz ~ 20kHz , < 2% 20kHz ~ 200kHz 频率响应< 0.2dB 0.2Hz ~100kHz; < 1dB100kHz~2MHz 线性98% 0.2Hz ~100kHz; 95%100kHz~2MHz

对称性<2% 0.2Hz ~100kHz 上升/下降时间<120nS 位准4Vp-p±1Vp-p ~ 14.5Vp-p±0.5Vp-p 可调 上升/下降时间<120nS 位准>3Vpp 上升/下降时间<30nS 输入电压约 0V~10V ±1V input for 10 : 1 frequency ratio 输入阻抗10kΩ (±10%) 交流 100V/120V/220V/230V ±10%, 50/60Hz 电源线× 1, 操作手册× 1, 测试线 GTL-101 × 1 230(宽) × 95(高) × 280(长) mm,约 2.1 公斤 信号发生器是为进行电子测量提供满足一定技术要求电信号的仪器设备。这种仪器是多用途测量仪器,它除了能够输出正弦波、矩形波尖脉冲、TTL电平、单次脉冲等五种波形,还可以作频率计使用,测量外输入信号的频率 1.信号发生器面板: (1)电源开关; (2)信号输出端子; (3)输出信号波形选择;

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

信号发生器的基本原理

信号发生器的基本原理- 信号发生器使用攻略 信号发生器的基本原理 现代信号发生器的结构非常复杂,与早期的简易信号发生器天差地别,但总体基本结构功能单元还是类似的。信号发生器的主要部件有频率产生单元、调制单元、缓冲放大单元、衰减输出单元、显示单元、控制单元。早期的信号发生器都采用模拟电路,现代信号发生器越来越多地使用数字电路或单片机控制,内部电路结构上有了很大的变化。 频率产生单元是信号发生器的基础和核心。早期的高频信号发生器采用模拟电路LC振荡器,低频信号发生器则较多采用文氏电桥振荡器和RC移相振荡器。由于早期没有频率合成技术,所以上述LC、RC振荡器优点是结构简单,可以产生连续变化的频率,缺点是频率 稳定度不够高。早期产品为了提高信号发生器频率稳定度,在可变电容的精密调节方面下了很多功夫,不少产品都设计了精密的传动机构和指示机构,所以很多早期的高级信号发生器体积大、重量重。后来,人们发现采用石英晶体构成振荡电路,产生的频率稳定,但是石英晶体的频率是固定的,在没有频率合成的技术条件下,只能做成固定频率信号发生器。之后 也出现过压控振荡器,虽然频率稳定度比LC振荡器好些,但依然不够理想,不过压控振荡 器摆脱了LC振荡器的机械结构,可以大大缩减仪器的体积,同时电路不太复杂,成本也不高。现在一些低端的函数信号发生器依然采用这种方式。 随着PLL锁相环频率合成器电路的兴起,高档信号发生器纷纷采用频率合成技术,其 优点是频率输出稳定(频率合成器的参考基准频率由石英晶体产生),频率可以步进调节,频率显示机构可以用数字化显示或者直接设置。早期的高精度信号发生器为了得到较小的频率步进,将锁相环做得非常复杂,成本很高,体积和重量都很大。目前的中高端信号发生器 采用了更先进的DDS频率直接合成技术,具有频率输出稳定度高、频率合成范围宽、信号频谱纯净度高等优点。由于DDS芯片高度集成化,所以信号发生器的体积很小。 信号发生器的工作频率范围、频率稳定度、频率设置精度、相位噪声、信号频谱纯度都与频率产生单元有关,也是信号发生器性能的重要指标。 信号发生器的一大特性就是可以操控仪器输出信号的幅度,信号通过特定组合衰减量的衰减器达到预定的输出幅度。早期的衰减器是机械式的,通过刻度来读取衰减量或输出幅度。现代中高档信号发生器的衰减器单元由单片机控制继电器来切换,向电子芯片化过渡,衰减单元的衰减步进量不断缩小,精度相应提高。大频率范围的高精度衰减器和高精度信号输出属于高科技技术,这也是国内很少有企业能制造高端信号发生器的原因之一。信号发生器的信号输出范围和输出电平的精度和准确度也是标志信号发生器性能的重要指标。

正弦波、方波、三角波信号发生器

附件2 :课程设计报告格式 CITY COLLEGE OF SCIENCE AND TECHNOLOGYXHONGQING UNIVERSITY 樹以电路课程设讣 课题:正弦波方波三角波信号发生器 专业:物联网工程 _________________ 班级:2 班____________________________ 学号:1XXXXXX ___________________________ 姓名:过客______________________________ 指导教师:_______________________________ 设计日期:________________________________ 成绩:___________________________________

重庆大学城市科技学院电气学院 正弦波方波三角波信号发生器设计报告 」、设计目的 1. 掌握简易信号发生器的设计、组装与调试方法。 2. 能熟练使用multisimIO电路仿真软件对电路进行设计仿真调试。 3. 加深对模拟电子技术相关知识的理解及应用。 :、设计任务与要求 1.设计任务和要求 设计一个能够输出正弦波、方波、三角波三种波形的信号发生器,性能要求如下:基本要求: ①输出频率为300Hz误差小于2% ②正弦波输出幅度不小于5V,矩形波输出幅度不小于500mV三角波输出幅 度不小于20mV ③要求波形失真小,电路工作稳定可靠,布线美观。 发挥部分: ①改进电路使矩形波幅度不小于5V,三角波幅度幅度不小于1V,且波形失真小。 ②改进电路使输出频率能在一定范围内可调,如1Hz~1kHz可调。 三、设计的具体实现 1、系统概述 本信号发生器由RC正弦波振荡器、滞回比较器、积分器三部分组成。经过RC正弦波振荡器输出正弦波信号,再经过滞回比较器电路输出方波信号,经过积分电路模块输出三角波信号。其原理图如下: 正弦波方波三角波

频率可调的方波信号发生器

频率可调的方波信号发生器 用单片机产生频率可调的方波信号。输出方波的频率范围为1Hz-200Hz,频率误差比小于0.5%。要求用“增加”、“减小”2个按钮改变方波给定频率,按钮每按下一次,给定频率改变的步进步长为1Hz,当按钮持续按下的时间超过2秒后,给定频率以10次/秒的速度连续增加(减少),输出方波的频率要求在数码管上显示。用输出方波控制一个发光二极管的显示,用示波器观察方波波形。开机默认输出频率为5Hz。 1模块1:系统设计 (1)分析任务要求,写出系统整体设计思路 任务分析:方波信号的产生实质上就是在定时器溢出中断次数达到规定次数时,将输出I/O管脚的状态取反。由于频率范围最高为200Hz,即每个周期为5ms(占空比1:1,即高电平2.5ms,低电平2.5 ms),因此,定时器可以工作在8位自动装载的工作模式。 涉及以下几个方面的问题:按键的扫描、功能键的处理、计时功能以及数码管动态扫描显示等。问题的难点在按键连续按下超过2S的计时问题,如何实现计时功能。 系统的整体思路:主程序在初始化变量和寄存器之后,扫描按键,根据按键的情况执行相应的功能,然后在数码显示频率的值,显示完成后再回到按键扫描,如此反复执行。中断程序负责方波的产生、按键连续按下超过2S后频率值以10Hz/s递增(递减)。 (2)选择单片机型号和所需外围器件型号,设计单片机硬件电路原理图 采用MCS51系列单片机At89S51作为主控制器,外围电路器件包括数码管驱动、独立式键盘、方波脉冲输出以及发光二极管的显示等。 数码管驱动采用2个四联共阴极数码管显示,由于单片机驱动能力有限,采用74HC244作为数码管的驱动。在74HC244的7段码输出线上串联100欧姆电阻起限流作用。 独立式按键使用上提拉电路与电源连接,在没有键按下时,输出高电平。发光二极管串联500欧 图1 方波信号发生器的硬件电路原理图 (3)分析软件任务要求,写出程序设计思路,分配单片机内部资源,画出程序流程图 软件任务要求包括按键扫描、定时器的控制、按键连续按下的判断和计时、数码管的动态显示。 程序设计思路:根据定时器溢出的时间,将频率值换算为定时器溢出的次数(T1_over_num)。使用变量(T1_cnt)暂存定时器T1的溢出次数,当达到规定的次数(T1_over_num)时,将输出管脚的状态取反达到方波的产生。主程序采用查询的方式实现按键的扫描和数码管的显示,中断服务程序实现方波的产生和连续按键的计时功能。 单片机内部资源分配:定时器T1用来实现方波的产生和连续按键的计时功能,内部变量的定义:

(Proteus数电仿真)序列信号发生器电路设计

实验8 序列信号发生器电路设计 一、实验目的: 1.熟悉序列信号发生器的工作原理。 2.学会序列信号发生器的设计方法。 3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。 二、实验仪器设备: 仿真计算机及软件Proteus 。 74LS161、74LS194、74LS151 三、实验原理: 1、反馈移位型序列信号发生器 反馈移位型序列信号发生器的结构框图如右图 所示,它由移位寄存器和组合反馈网络组成, 从寄存器的某一输出端可以得到周期性的序列 码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP 将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。 若M 个状态中出现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分为M 个独立状态为止。 (2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的表达式。 (3)检查自启动性能。 (4)画逻辑图。 2、计数型序列信号发生器 计数型序列信号发生器和组合的结构框图 如图 所示。它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。设计 过程分为以下两步: (1)根据序列码的长度M 设计模M (2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。 四、计算机仿真实验内容及步骤、结果: 1、设计一个产生100111序列的反馈移位型序列信号发生器。 1、根据电路图在protuse 中搭建电路图

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

基于max038的信号发生器设计说明

一、课题名称:函数信号发生器 二、主要技术指标(或基本要求): 1)能精密地产生三角波、锯齿波、矩形波(含方波)、正弦波信号。 2)频率范围从0.1Hz~20MHz,最高可达40MHz,各种波形的输出幅度均为2V(P-P)。 3)占空比调节范围宽,占空比和频率均可单独调节,二者互不影响,占空比最大调节范围是 15%~85%。 4)波形失真小,正弦波失真度小于0.75%,占空比调节时非线性度低于2%。 5)采用±5V双电源供电,允许有5%变化范围,电源电流为80mA,典型功耗400mW,工作温 度范围为0~70℃。 6)内设2.5V电压基准,可利用该电压设定FADJ、DADJ的电压值,实现频率微调和占空比调 节。 7)低阻抗定压输出,输出电阻典型值0.1欧姆,具有输出过载/短路保护。 三、主要工作内容:方案设想,MAX038,OP07,电路原理等资料查询准备。电路原理图设 计绘制,面包板验证设计可行性。之后进行PCB板设计调整,电路板定制,元件采购;裸板 测试,焊接,整机测试。实验设计进行报告反馈 四、主要参考文献: [1]赵涛,辛灿华,姚西霞,陈晓娟,基于MAX038的多功能信号发生器的设计。《机电产品 与创新》 2008.07 [2]蒋金弟,朱永辉,毛培法。MAX038高频精密函数信号发生器原理及应用。《山西电子技 术》 2001 [3]黄庆彩,祖静,裴东兴.基于MAX038的函数信号发生器的设计[J].仪器仪表学报,2004,S1. [4]陈一新.单片高频函数发生器MAX038及其应用[J].中国仪器仪表,2002,04. [5]赵立民.电子技术实验教程[M].北京:机械工业出版社,2004

信号发生器的原理及应用

实验一信号发生器的原理及应用 一、实验目的 (1)熟悉直接数字合成双路函数信号发生器的工作原理以及面板装置及功能; (2)会运用UTG2025A型数字信号合成信号发生器产生标准信号和调制信号。 二、实验设备 (1)UTG2025A型函数/任意波形信号发生器1台; (2)UTD2102C数字存储示波器各1台。 三、实验原理 函数信号发生器是能产生多种特定时间函数波形(如正弦波、方波、三角波 等)供测试用的信号发生器。典型函数信号发生器由输入单元、内/外转换电路、 波形产生电路、频段转换器、扫频电路、占空比和频率调节电路、微处理器、A/D 转换器、直流功率放大器和计数显示器等组成,其电路原理方框图如下所示: 图1典型函数信号发生器电路原理框图 其中波形产生电路、频率调整电路、占空比调整电路、内外扫频控制电路、测频 单元电路等具体电路原理与分析见教材《电子测量技术》P67-P71页内容。 四、实验内容及步骤 4.1 产生标准信号 4.1.1 产生正弦波信号

实验内容:产生一个20MHz、峰峰值100mV、直流偏置-150mV的正弦波信号。 1 实验步骤: (1)确保仪器正确连接后,打开开关,等仪器自检回到主菜 单;(2)按【menu】→【波形】→【正弦波】,如下图所示: (3)按【menu】→【波形】→【参数】 选择【频率】、【幅度】、【直流偏移】、【相位】不同功能按钮进行设 置:可以用三种方法来输入频率值:(其他数字量输入类似) ①通过按方向键来移动选择光标,再通过多功能按钮来增加、减少频率值; ②通过多功能按钮选中再逆时针、顺时针旋转来增加、减少频率值; ③通过数字键盘输入:进入频率设置状态后,当您按下数字键盘任意一个按键后,屏幕弹出输入窗口,如下图所示: 键入数字后再分别选择不同单位。

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版

6 设计总结 7仪器仪表明细清单 8 参考文献 1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。

2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz 等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波UP-P≤24V,三角波UP-P=8V,正弦波UP-P>1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。 2.函数发生器总方案及原理框图

信号发生器的基本参数和使用方法

信号发生器本人介绍一下信号发生器的使用和操作步骤1、信号发生器参数性能频率范围:0.2Hz ~2MHz 粗调、微调旋钮正弦波, 三角波, 方波, TTL 脉波0.5" 大型LED 显示器可调DC offset 电位输出过载保护信号发生器/ 信号源的技术指标: 主要输出 波形正弦波, 三角波, 方波, Ramp 与脉波输出 振幅>20Vp-p (opencircuit);>10Vp-p (加50Ω 负载) 阻抗 50Ω+10% 衰减器 -20dB+1.0dB (at 1kHz) DC 飘移<-10V ~ >+10V, (<-5V ~ >+5V 加50Ω负载) 周期控制 1 : 1 to 10 : 1 continuously rating 显示幕 4 位LED 显示幕 频率范围 0.2Hz to2MHz(共7 档) 频率控制Separate coarse and fine tuning 正弦波

失真< 1% 0.2Hz ~ 20kHz , < 2% 20kHz ~ 200kHz 频率响应< 0.2dB 0.2Hz ~100kHz;< 1dB 100kHz~ 2MHz 三角波 线性98% 0.2Hz ~100kHz;95%100kHz~ 2MHz 对称性<2% 0.2Hz ~100kHz 上升/ 下降时间<120nS CMOS输出 位准4Vp-p±1Vp-p ~ 14.5Vp-p±0.5Vp-p 可调 上升/ 下降时间<120nS TTL 输出 位准>3Vpp 上升/ 下降时间<30nS VCF 输入电压约0V~10V ±1V input for 10 : 1 frequency ratio 输入阻抗10kΩ (± 10%) 使用电源 交流100V/120V/220V/230V ±10%, 50/60Hz 附件 电源线× 1, 操作手册× 1, 测试线GTL-101 × 1

函数信号发生器和任意波形发生器对比

函数信号发生器和任意波形发生器对比 1、函数信号发生器 函数发生器是使用最广的通用信号源信号发生器,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波形编辑软件生成波形,有利于扩充仪器的能力,更进一步仿真实验。另外,内置一定数量的非易失性存储器,随机存取编辑波形,有利于参考对比,或通过随机接口通讯传输到计算机作更进一步分析与处理。有些任意波形发生器有波形下载功能,在作一些麻烦费用高或风险性大的实验时,通过数字示波器等仪器把波形实时记录下来,然后通过计算机接口传输到信号源,直接下载到设计电路,更进一步实验验证。 泰克推出的AFG3000系列三合一信号源,可以完成以上提到的功能,并且在波形输出的精度、稳定性等方面都有较大提高,是走在行业前列的新一代任意波发生器。 信号源的主要技术指标 传统函数发生器的主要指标和新近研发的任意波形发生器的主要指标有一些不同,我们这里分开介绍。 (一)普通函数发生器的主要指标: 带宽(输出频率范围) 仪器的带宽是指模拟带宽,与采样速率等无关,信号源的带宽是指信号的输出频率的范围,并且一般来讲信号源输出的正弦波和方波的频率范围不一致,例如,某函数发生器产生正弦波的频率范围是1mHz~240MHz,而输出方波的频率范围是1mHz~120MHz。 频率(定时)分辨率 频率分辨率,即最小可调频率分辨率,也就是创建波形时可以使用的最小时间增量。

函数信号发生器使用说明(超级详细)

函数信号发生器使用说明 1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 表1 序 面板标志名称作用号 1电源电源开关按下开关,电源接通,电源指示灯亮 2 1、输出波形选择 波形波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200

信号发生器使用

信号发生器使用 一、信号发生器 信号发生器是指产生所需参数的电测试信号的仪器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形的信号发生器,如产生三角波、锯齿波、矩形波(含方波)、正弦波的信号发生器称为函数信号发生器 信号发生器也称信号源,是用来产生振荡信号的一种仪器,为使用者提供需要的稳定、可信的参考信号,并且信号的特征参数完全可控。所谓可控信号特征,主要是指输出信号的频率、幅度、波形、占空比、调制形式等参数都可以人为地控制设定。随着科技的发展,实际应用到的信号形式越来越多,越来越复杂,频率也越来越高,所以信号发生器的种类也越来越多,同时信号发生器的电路结构形式也不断向着智能化、软件化、可编程化发展。信号发生信号发生器也称信号源,是用来产生振荡信号的一种仪器,为使用者提供需要的稳定、可信的参考信号,并且信号的特征参数完全可控。所谓可控信号特征,主要是指输出信号的频率、幅度、波形、占空比、调制形式等参数都可以人为地控制设定。随着科技的发展,实际应用到的信号形式越来越多,越来越复杂,频率也越来越高,所以信号发生器的种类也越来越多,同时信号发生器的电路结构形式也不断向着智能化、软件化、可编程化发展。 二、信号发生器的分类 信号发生器所产生的信号在电路中常常用来代替前端电路的实际信号,为后端电路提供一个理想信号。由于信号源信号的特征参数均可人为设定,所以可以方便地模拟各种情况下不同特性的信号,对于产品研发和电路实验特别有用。在电路测试中,我们可以通过测量、对比输入和输出信号,来判断信号处理电路的功能和特性是否达到设计要求。例如,用信号发生器产生一个频率为1kHz 的正弦波信号,输入到一个被测的信号处理电路(功能为正弦波输入、方波输出),

函数信号发生器F120使用说明

F05/F10/F20/F40/F80 /F120 数字合成函数/任意波信号发生器/计数器 使 用 说 明 书 南京盛普仪器科技有限公司NANJING SAMPLE INSTRUMENT TECHNOLOGY CO.,LTD.

目录 第一章概述 (1) 第二章主要特征 (1) 第三章技术参数 (2) 一、函数信号发生器 (2) 二、计数器 (4) 三、其它 (5) 第四章面板说明 (6) 一、显示说明 (6) 二、前面板说明 (7) 三、后面板说明 (11) 第五章使用说明 (12) 一、测量、试验的准备工作 (12) 二、函数信号输出使用说明 (12) 三、计数使用说明 (31) 第六章遥控操作使用说明 (32) 第七章注意事项与检修 (47) 第八章仪器整套设备及附件 (49)

本仪器是一台精密的测试仪器,具有输出函数信号、调频、调幅、FSK 、PSK 、猝发、频率扫描等信号的功能。此外,本仪器还具有测频和计数的功能。本仪器是电子工程师、电子实验室、生产线及教学、科研的理想测试设备。 1、采用直接数字合成技术(DDS )。 2、主波形输出频率为100μHz ~ 120MHz (F120)。 3、小信号输出幅度可达0.1mV 。 4、脉冲波占空比分辨率高达千分之一。 5、数字调频分辨率高、准确。 概述 1 2 主要 特征

6、猝发模式具有相位连续调节功能。 7、频率扫描输出可任意设置起点、终点频率。 8、相位调节分辨率达0.1度。 9、调幅调制度1% ~ 120% 可任意设置。 10、输出波形达30余种。 11、具有频率测量和计数的功能。 12、机箱造型美观大方,按键操作舒适灵活。 一、函数发生器 1、波形特性 主波形:正弦波,方波, TTL 波(频率大于40MHz 仅有正弦波) 波形幅度分辨率:12 bits 采样速率:200Msa/s (F120 为300 Msa/s) 正弦波谐波失真:-50dBc (频率≤ 5MHz ) -45dBc (频率≤ 10MHz ) -40dBc (频率≤ 20MHz ) -35dBc (频率> 20MHz ) 正弦波失真度: ≤0.1%(f :20Hz ~ 100kHz ) 方波升降时间: ≤25ns (F05型、F10型) ≤15ns (F20型、F40型、F80型、F120型) 3 技术指标

模电课程教学设计简单函数信号发生器

模拟电子技术课程设计报告 简易函数信号发生器 姓名:李**,马** 班级:********** 学号:********** ********** 日期:2016.12.28

简易信号发生器设计 摘要: 函数信号发生器是一种能能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。现在我们通过对函数信号发生器的原理以及构成设计一个能变换出三角波、正弦波、方波的简易发生器。我们通过对电路的分析,参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。按照设计的方案选择具体的原件,焊接出具体的实物图,并在实验室对焊接好的实物图进行调试,观察效果并与课题要求的性能指标作对比。最后分析出现误差的原因以及影响因素。 关键字: 方案确定、参数计算、调试、误差分析。 一.设计目的: 设计构成正弦波、三角波、方波函数信号发生器

二.函数发生器总方案: 函数发生器的总方案函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101 全部采用晶体管), 也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与RC振荡电路的方式形成正弦波—方波—三角波函数发生器的设计方法。产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过比较器,整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生正弦波—方波—三角波,再调整方波的占空比进而实现产生锯齿波的电路设计方法,本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产转换电路,比较器输出的方波经积分器得到三角波,三角波到锯齿波的变换电路主要由调节占空比来完成。 三. 设计任务与实验原理 实际任务: 所选为题目2:函数信号发生器

函数信号发生器使用说明

EE1641C~EE1643C型 函数信号发生器/计数器 使用说明书 共 11 张 2004年 10 月

1 概述 1.1 定义及用途 本仪器是一种精密的测试仪器,因其具有连续信号、扫频信号、函数信号、脉冲信号等多种输出信号,并具有多种调制方式以及外部测频功能,故定名为EE1641C型函数信号发生器/计数器、EE1642C(EE1642C1)型函数信号发生器/计数器、EE1643C型函数信号发生器/计数器。本仪器是电子工程师、电子实验室、生产线及教学、科研需配备的理想设备。 1.2 主要特征 1.2.1 采用大规模单片集成精密函数发生器电路,使得该机具有很高的可靠性及优良性能/价格比。 1.2.2 采用单片微机电路进行整周期频率测量和智能化管理,对于输出信号的频率幅度用户可以直观、准确的了解到(特别是低频时亦是如此)。因此极大的方便了用户。 1.2.3 该机采用了精密电流源电路,使输出信号在整个频带内均具有相当高的精度,同时多种电流源的变换使用,使仪器不仅具有正弦波、三角波、方波等基本波形,更具有锯齿波、脉冲波等多种非对称波形的输出,同时对各种波形均可以实现扫描、FSK调制和调频功能,正弦波可以实现调幅功能。此外,本机还具有单次脉冲输出。 1.2.4 整机采用中大规模集成电路设计,优选设计电路,元件降额使用, 以保证仪器高可靠性,平均无故障工作时间高达数千小时以上。 1.2.5 机箱造型美观大方,电子控制按纽操作起来更舒适,更方便。 2 技术参数 2.1 函数信号发生器技术参数 2.1.1 输出频率 a) EE1641C:0.2Hz~3MHz 按十进制分类共分七档 b) EE1642C:0.2Hz~10MHz 按十进制分类共分八档 c) EE1642C1:0.2Hz~15MHz 按十进制分类共分八档 d) EE1643C:0.2Hz~20MHz 按十进制分类共分八档 每档均以频率微调电位器实行频率调节。 2.1.2 输出信号阻抗 a) 函数输出:50Ω b) TTL同步输出:600Ω 2.1.3 输出信号波形 a) 函数输出(对称或非对称输出):正弦波、三角波、方波 b) 同步输出:脉冲波 2.1.4 输出信号幅度 a) 函数输出:≥20Vp–p±10%(空载);(测试条件:fo≤15MHz,0dB衰减) ≥14Vp–p±10%(空载);(测试条件:15MHz≤fo≤20MHz,0dB衰减) b) 同步输出:TTL电平:“0”电平:≤0.8V,“1”电平:≥1.8V(负载电阻≥600Ω) CMOS电平:“0”电平:≤4.5V,“1”电平:5V~13.5V可调(fo≤2MHz) c) 单次脉冲:“0”电平:≤0.5V,“1”电平:≥3.5V 2.1.5 函数输出信号直流电平(offset)调节范围:关或(–10V~+10V)±10%(空载) [“关”位置时输出信号所携带的直流电平为:<0V±0.1V,负载电阻为:50Ω时,调节范围为 (–5V~+5V)±10%]

相关文档
最新文档