《电子设计基础》课程设计数字显示电路

《电子设计基础》课程设计数字显示电路
《电子设计基础》课程设计数字显示电路

《电子设计基础》

课程设计

设计题目:数字显示电路

学生班级:通信0904

学生学号:

学生姓名:刘明

指导老师:刘春梅

时间:

西南科技大学

信息工程学院

一. 设计题目

用数字电路设计一个数字显示电路。

二. 设计要求与内容

1. 用编码器、译码器、按键、七段显示器设计一个数字显示电路,按下0—9中的一个数字键,要求该显示电路能够在数码管上显示出对应的数字,按下第二个按键,个位数左移至十位,个位显示显示第二次按键值。

2. PCB 绘制要求:线宽0.5mm ,焊盘内径0.4mm ,外径0.7mm 。

三. 设计目的

1. 掌握数字电路的设计方法,运用集成芯片完成电路的设计。

2. 掌握multisim 软件的使用,能运用multisim 进行电路仿真。

3. 掌握protel 软件的使用,能用protel 画原理图以及绘制PCB 电路板

四.设计原理

1. 题目分析

要求要用气短显示器显示数字,则需要编码器和译码器,又要显示十位数字,且要慢一位,就需要寄存器使之存储上一个状态。

2.电路框图

3.主要器件介绍 (1)74147编码器

10线-4线优先编码器74147,74147优先编码器的功能表如图:

分析功能表可知:

A.输入信号IN1-IN9的编码有效电平是低电平。

B.输出是反码形式的8421BCD码。

C.输入信号IN9的优先级最高,IN8次之,其余类推。

(2)4511译码器

4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。功能表如下:

(3)74LS194移位寄存器

74LS194是4位双向移位寄存器, 74LS194的最高时钟脉冲为36MHZ,其逻辑符号及引脚排列如下图:

图管脚图

其中:D

0~D

1

为并行输入端;Q

~Q

3

为并行输出端;

S R ――右移串行输入端;S

L

--左移串行输入端;

S 1、S

――操作模式控制端;――为直接无条件清零端;

CP――为时钟脉冲输入端。

SN74LS194D功能表

(4)74LS175寄存器

逻辑符号如图:

其中,RD是异步清零端,即当RD=0时,不管其他输入端的状态如何(包括是中脉冲CP),寄存器输出将直接置零。在寄存器中存储数据之前,必须先将寄存器清零,否则有可能出错。D0、D1、D2、D3为并行数据输入端,Q0、Q1、Q2、Q3为并行输出端,当然相应的反脚也可以为输出端。功能表如图:

五.总仿真电路

所用器件:单刀单置开关10个,1KΩ电阻10个,74LS11两块,74LS04一块,74LS194一块,74LS175一块,4511两块,七段显示器两块,74LS20一块,导线若干。

运用multisim化的仿真电路如图

R1

1k|?

R2

1k|?

R3

1k|?

R4

1k|?

R5

1k|?

R6

1k|?

R7

1k|?

R8

1k|?

R9

1k|?

R0

1k|?

J1

Key = A

J2

Key = A

J3

Key = A

J4

Key = A

J5

Key = A

J6

Key = A

J7

Key = A

J8

Key = A

J9

Key = A

J0

Key = A

VCC

5V

U1

74147N

A9

B7

C6

D14

3

13

4

1

5

2

2

12

1

11

8

5

7

4

6

3

9

10

U2A

74LS11D

U4A

74LS04D

U5A

74LS04D

U6A

74LS04D

U7A

74LS04D

U8

4511BD_5V

DA

7

DB

1

DC

2

DD

6

OA13

OD10

OE9

OF15

OC11

OB12

OG14

~EL

5

~BI

4

~LT

3

U9

A B C D E F G

CK

GND

U11

4511BD_5V

DA

7

DB

1

DC

2

DD

6

OA13

OD10

OE9

OF15

OC11

OB12

OG14

~EL

5

~BI

4

~LT

3

U12

A B C D E F G

CK

GND

37

36

35

34

33

32

31

22

2120

19

18

17

16

15

14

13

12

1

2

3

U13

74LS194D

A

3

B

4

C

5

D

6

SL

7

QA15

QB14

QC13

QD12

SR

2

~CLR

1

S0

9

S1

10

CLK

11

U10

74LS175D

1D

4

CLK

9

1Q2

~CLR

1

2D

5

3D

12

4D

13

~1Q3

~2Q6

3Q10

~3Q11

2Q7

4Q15

~4Q14

23

24

25

26

U14A

74LS04D

27

28

29

30

39

40

41

42

43

U15A

74LS11D

44

910

U3A

74LS11D

U16A

74LS11D

5

6

7

VCC

8

U17A

74LS20D

11

38

45

46

47

六.仿真结果

1.在没有输入时,两个显示器什么也不显示,按下第一个按键“5”后,个位显示数字“5”,十位显示“0”;

3.第二次按下数字“9”,个位显示“9”,十位显示“5”;

3.以此类推,个位显示当前数字,十位显示上一次的数字。

七.PCB板绘制

1.原理图绘制

(1)根据仿真电路图绘制原理图。

(2)用相应适当的型号封装各元件(特别注意在单刀双掷开关的封装时要运用SIP3),电源用一个双口网络封装。

(3)生成网络表。

用protel绘制的原理图如下:

2.PCB板图绘制

(1)确定坐标原点,规划好PCB板的边界以及对换单位为mm,将生成的网络表导入规划好的PCB板框中。

(2)处理网络宏错误。

(3)手动布局,布线。

(4)利用设计规则检查电路板。

(5)打印预览,导出各层布线。

(1)PCB板的底层布线如图(2)PCB板的顶层布线如图

(3)PCB板丝印层布线如图(4)PCB板全局布线如图(5)3D如图所示:

八.电路总结

1. 本次试验用到的74147是九输入的,而试验要求输入0-9这十个数字,所以选择用“0”来控制4511的显示功能,即当没有数字输入时显示器什么也不显示,方法是:用十个输入端通过与非门后来控制4511的BI端。

2. 七段显示器的使用时,要注意所用的是共阴极还是共阳极的。

3. 74LS175的CP端用十输入与非后再进行一次非门来控制。

4. 74147四输出端要经过非门后连接4511的输入端,否则低电平变高电平,与结果相差甚远。

此次课程设计主要运用了数电的知识,运用multisim仿真软件以及protel完成实践设计,这次设计让我体会了理论设计到实际生产的具体流程都是在理论的支撑下,培养了我独立思考解决问题的能力,理解到了理论与实际的紧密联系,理论指导实践,实践可以验证理论,俗话说实践是检验真理的唯一标准,只有更好的掌握理论知识才能更好的完成实践设计,同时在本次课程设计中我也发现了自身很多不足之处,理论知识只是一个支撑,在实际解决问题中还可能出现很多问题,但是经过自己翻查资料与请教他人,问题都得到了解决,提高了我们独立思考问题与解决问题的能力,与同学交流经验,也拉近了我们之间的距离。作为一个当代大学生在学好理论知识的同时更加要培养自己的实际操作动手能力,毕竟社会上需要的不仅仅是只知道理论,不知道实际动手操作的人,是理论与实践相结合的社会知识分子,课程设计正好锻炼培养了我们这方面的能力,课程设计是对理论知识的巩固与加强,课本上的知识繁杂,冗多,平时的课堂学习并不能完全理解跟掌握,但是课程设计中我们在运用中发现自己的不足后会迅速的去弥补自己知识缺陷,本次设计中我了解了很多以前不知道的元器件的功能,以及在电路设计中的运用,同时也更加熟悉了multisim仿真软件与protel软件的运用,在学习中要虚心求教,遇到问题要想办法解决,在我们解决问题的过程中,我们会收获很多知识,不断的提升自己的能力,作为一个大学生,不仅要学好理论知识,更要在此基础上结合实践更好的去理解理论知识,只有理论与实践相结合,才能更好的掌握,最大限度的提高自己解决问题的能力,这次设计让我收获很多,自己解决了一个实际问题内心很高兴,这次设计也激发了我的学习兴趣,对以后的学习有非常重要的意义!

总体说来,这次课程设计我受益匪浅。在摸索该如何设计电路实现要求功能的过程中,特别有趣,培养了我的设计思维,让我体会到设计电路时的艰辛的同时,更让我体会到成功的喜悦和快乐。

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

通信电子线路课程设计

通信电子线路课程设计中波电台发射系统与接收系统设计 学院:******* 专业:******* 姓名:**** 学号:******

一.引言 这学期,我们学习了《通信电子线路》这门课,让我对无线电通信方面的知识有了一定的认识与了解。通过这次的课程设计,可以来检验和考察自己理论知识的掌握情况,同时,在本课设结合Multisim软件来对中波电台发射机与接收机电路的设计与调试方法进行研究。既帮助我将理论变成实践,也使自己加深了对理论知识的理解,提高自己的设计能力 二.发射机与接收机原理及原理框图 1.发射机原理及原理框图 发射机的主要任务是完成有用的低频信号对高频载波的调制,将其变为在某一中心频率上具有一定带宽、适合通过天线发射的电磁波。 通常,发射机包括三个部分:高频部分,低频部分,和电源部分。 高频部分一般包括主振荡器、缓冲放大、倍频器、中间放大、功放推动级与末级功放。主振器的作用是产生频率稳定的载波。为了提高频率稳定性,主振级往往采用石英晶体振荡器,并在它后面加上缓冲级,以削弱后级对主振器的影响。低频部分包括话筒、低频电压放大级、低频功率放大级与末级低频功率放大级。低频信号通过逐渐放大,在末级功放处获得所需的功率电平,以便对高频末级功率放大器进行调制。因此,末级低频功率放大级也叫调制器。发射机系统原理框图如下图: 设计指标: 设计目的是要求掌握最基本的小功率调幅发射系统的设计与安装调试。 技术指标:载波频率535-1605KHz,载波频率稳定度不低于10-3,输出负载51Ω,总的输出功率50mW,调幅指数30%~80%。调制频率500Hz~10kHz。 本设计可提供的器件如下,参数请查询芯片数据手册。所提供的芯片仅供参考,可以选择其他替代芯片。 高频小功率晶体管3DG6 高频小功率晶体管3DG12 集成模拟乘法器XCC,MC1496 高频磁环NXO-100 运算放大器μA74l 集成振荡电路E16483 原理及原理框图 接收机的主要任务是从已调制AM波中解调出原始有用信号,主要由输

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

通信原理课设-基于Systemview的通信系统的仿真

目录 第1章绪论 (1) 第2章 SystemView的基本介绍 (2) 第3章二进制振幅键控 2ASK (4) 3.1 2ASK调制系统 (4) 3.2 2ASK调制解调系统 (6) 3.3 2ASK系统仿真结果分析 (9) 第四章二进制频移键控 2FSK (10) 4.1 2FSK调制系统 (10) 4.2 2FSK调制解调系统 (12) 4.3 2FSK仿真结果分析 (17) 第5章二进制移相键控 2PSK (18) 5.1 2PSK调制系统 (18) 5.2 2PSK调制解调系统 (19) 5.3 2PSK仿真结果分析 (23) 第6章二进制差分移相键控 2DPSK (24) 6.1 2DPSK实验原理 (24) 6.2 2DPSK仿真结果分析 (29) 第7章实验总结 (30) 第8章参考文献 (30) 第9章谢辞 (32)

第1章绪论 通信按照传统的理解就是信息的传输,信息的传输离不开它的传输工具,通信系统应运而生,我们此次课题的目的就是要对调制解调的通信系统进行仿真研究。 数字信号的传输方式可以分为基带传输和带通传输。为了使信号在带通信道中传输,必须用数字基带信号对载波进行调制,以使信号与信道特性相匹配。在这个过程中就要用到数字调制。 在通信系统中,利用数字信号的离散取值特点通过开关键控载波,来实现数字调制,这种方法通常称为键控法,主要对载波的振幅,频率,和相位进行键控。键控主要分为:振幅键控,频移键控,相移键控三种基本的数字调制方式。 本次课程设计的目的是在学习以上三种调制的基础上,通过Systemview仿真软件,实现对2ASK,2FSK,2PSK,2DPSK等数字调制系统的仿真,同时对以上系统有深入的了解。 Systemview是美国ELANIX公司于1995年开始推出的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。 在此次课程设计之前,先学会熟练掌握Systemview的用法,在该软件的配合下完成各个系统的结构图,还有调试结果图。 Systemview对系统的分析主要分为两大块,调制系统的分析和解调系统的分析。由于调制是解调的基础,没有调制就不可能有解调,为了表现解调系统往往需要很高的采样频率来减少滤波带来的解调失真,所以调制的已调信号通过波形模块观察起来不是很清楚,为了更好的弄清楚调制是怎么样的一个过程,在这里,我们把调制单独列出来,用较低的频率实现它,就能从单个周期上观察调制系统的运作模式,更深刻地表现调制系统的调制过程。

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

数字日历电路设计数字电子技术课程设计报告

数字电子技术课程设计报告设计题目:数字日历

班级:计算机1202 数字电子技术课程设计报告 课程设计任务书

I 数字电子技术课程设计报告 要摘 每页显示一日信用于记载日期等相关信息。日历是一种日常使用的出版物,有多每页显示全年信息的叫年历。息的叫日历,每页显示一个月信息的叫月历,种形式,如挂历、座台历、年历卡等,如今又有电子日历。逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要在设计日历倒计而纸制日历对森林保护不利,因此设计电子日历意义重大。的。时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

II 数字电子技术课程设计报告 目录 课程设计任务书............................................................................................................. I 摘要........................................................................................................................... II 1.概述 (1) 2.课程设计任务及要求 (1) 2.1 设计任务 (1) 2.2 设计要求 (1) 3.理论设计 (1) 3.1方案论证 (2) 3.2 系统设计 (2) 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3 单元电路设计 (5) 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真................................................................................................................. 11 4.1 仿真电路图 (11) 4.2 仿真过程 (12) 4.3 仿真结果 (12) 5.安装调试................................................................................................................... 13 5.1安装调试过程 (13)

通信基本电路课程设计09级5

1、主要内容 利用所学的高频电路知识,设计一个小功率调频发射机。通过在电路设计、安装和调试中发现问题、解决问题,加深对高频电子线路课程理论知识的理解,提高电路设计及电子实 践能力。 2、基本要求 设计一个小功率调频发射机,主要技术指标为: (1)载波中心频率f o=12MHz ; (2)发射功率F A 100mW ; (3)负载电阻R L=75「; (4)调制灵敏度S f _25kHz/V ; 3、主要参考资料 [1]阳昌汉?高频电子线路?哈尔滨:高等教育出版社,2006. [2]张肃文,陆兆雄.高频电子线路(第三版).北京:高等教育出版社,1993. [3]谢自美.电子线路设计?实验?测试.武汉:华中科技大学出版社,2000. [4]高吉祥.电子技术基础实验与课程设计.北京:电子工业出版社,2002. 完成期限2月20日-2月24日 指导教师_________________________ 专业负责人_______________________ 2012 年2 月24 日 摘要 随着科技的发展和人民生活水平的提高,无线电发射机在生活中得到广泛应用,最普遍的有电台、对讲 机等。人们通过无线电发射机可以把需要传播出的信息发射出去,接收者可以通过特制的接收机接受信息,最普通的模式是:广播电台通过无线电发射机发射出广播,收听者通过收音机即可接收到电台广播。 本设计为一简单功能的无线电调频发射器,相当于一个迷你型的电台,通过该发射器可以把声音转换为无线电信号发射出去,该信号频率可调,通过普通收音机接收,只要在频率适合时即可收到发射器发送出 的无线电信号,并通过扬声器转换出声音。 本设计为本校院级电子设计大赛作品。在此写成课程设计的模式,算是总结经验,再次学习。由于时间仓促,不尽完美之处,请谅解。

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

数字日历电路设计数字电子技术课程设计报告word精品

数字电子技术课程设计报告设计题目:数字日历 班级:计算机1202

设计目的: 1. 进一步熟悉中、小规模数字集成电路的工作原理及使用方法。 2. 掌握小型数字系统的设计、组装与调试方法。 设计内容: 用常用的中、小规模数字集成电路设计一个小型数字系统,自行设计、完成系统的功能。 设计要求: 1. 理论设计部分 ⑴独立完成系统的原理设计。说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。 ⑵画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。 ⑶系统中包含的中、小规模集成电路的种类至少在六种以上。 2. 模拟仿真 ⑴根据理论设计用multisim 10在计算机上进行仿真。验证所设计方案的正确性。⑵分析电路的工作原理,写出仿真报告。 3. 安装调试部分 ⑴实现所设计的小型数字系统,并进行单元测试和系统调试,完成系统功能。 ⑵若系统出现故障,排除系统故障,分析并记录系统产生故障的原因,并将此部分内容写在

报告中。 摘要 日历是一种日常使用的出版物,用于记载日期等相关信息。每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。 逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。而纸制日历对森林保护不利,因此设计电子日历意义重大。在设计日历倒计时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星 期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

目录 课程设计任务书.............................................................. 1...摘要........................................................................... II 1. 概述..................................................................... 1.1 2. 课程设计任务及要求...................................................... 1. 2.1设计任务............................................................ 1. 2.2设计要求............................................................ 1. 3理论设计.................................................................. 1.. 3.1方案论证............................................................ 2. 3.2系统设计............................................................ 2. 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3单元电路设计........................................................ 5. 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真 (11) 4.1仿真电路图 (11) 4.2仿真过程 (12) 4.3仿真结果 (12) 5. 安装调试................................................................ .13 5.1安装调试过程....................................................... 1.3 5.2安装调试结果....................................................... 1.4 5.3故障分析........................................................... 1.5 6. 结论 (16) 7. 使用仪器设备清单 (17) 8. 参考文献................................................................ 1.7

数字电路教案-课题二 寄存器(2课时)

理论课授课教案

教学过程和内容 时间分配 与教法1.由D触发器构成的数码寄存器 (1)电路组成 图5-1 单拍工作方式的数码寄存器 CP:接收脉冲(控制信号输入端) (2)工作原理 当CP↑时,触发器更新状态,Q3Q2Q1Q0=D3D2D1D0,即接收输入 数码并保存。 单拍工作方式:不需清除原有数据,只要CP↑一到达,新的数据就会存 入。 常用4D型触发器74LS175、6D型触发器74LS174、8D型触发器74LS374 或MSI器件等实现。 2.由D型锁存器构成的数码寄存器 (1)锁存器的工作原理 送数脉冲CP为锁存控制信号输入端,即使能信号(电平信号)。 ②当CP=1时,D数据输入不影响电路的状态,电路锁定原来的数据。 即当使能信号结束后(锁存),数据被锁住,输出状态保持不变。 二、移位寄存器 移位寄存器除了具有存储数码的功能外,还具有移位功能。 移位功能:寄存器中所存数据,可以在移位脉冲作用下逐位左移或右移。 在数字电路系统中,由于运算(如二进制的乘除法)的需要,常常要求 实现移位功能。

1.单向移位寄存器:是指仅具有左移功能或右移功能的移位寄存器。 教学过程和内容 时间分配 与教法1)右移位寄存器 ①电路组成 图5-4 4位右移位寄存器 串行输入 同步时序逻辑电路 ②工作过程(仿真运行图5-4电路。) 将数码1101右移串行输入给寄存器(串行输入是指逐位依次输入)。 在接收数码前,从输入端输入一个负脉冲把各触发器置为0状态(称为清零)。 ④时序图 CP顺序输入D SR输出 0 1 0 0 0 0 1 1 1 0 0 0 2 0 1 1 0 0 3 1 0 1 1 0 4 0 1 0 1 1 5 0 0 1 0 1 6 0 0 0 1 0 7 0 0 0 0 1 8 0 0 0 0 0

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

数字日历电路设计EDA实训

成绩 批阅教师 日期 桂林电子科技大学 实训报告 2016-2017学年第1学期 学院海洋信息工程学院 课程EDA综合实训 姓名钟朝林

学号 1416030218 指导老师覃琴 日期2016/12/29 实训题目:数字日历电路的设计 1 概述 1.1 设计要求 1.1.1 设计任务 设计并制作一台数字日历。 1.1.2 性能指标要求 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分和秒。 ③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 ④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。 1.2 总体设计基本原理及框图 1.2.1 基本原理 日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。采 用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分 时完成时分秒或年月日的显示。设计电路的计时器模块(jsq24)用于完成一天 的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到 日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还 是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2 和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端, 还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制 下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、 日状态信号送到数码管显示器显示。 1.2.2 总体框图

通信电子电路课程设计

二○一二~二○一三学年第二学期 信息科学与工程学院 课程设计报告书 课程名称:通信电子电路课程设计 班级:电子信息工程(DB)2010级 2班小组成员:田雨晴 201012135045 张泽玮 201012135072 刘放 201012135074 吴尧 200912135103 指导教师:李文翔 学时学分: 1周 1学分 二○一三年二月

目录 一、设计目的 (3) 二、设计内容 (3) 三、设计原理与过程 (3) 3.1、原理 (3) 3.2、确定电路形式设置静态工作点 (4) 3.3、计算主振回路元件值 (5) 3.4、设置静态工作点 (5) 3.5、计算调频电路元件值 (6) 3.6、计算调制信号的幅度 (7) 四、安装与调试过程 (7) 4.1、安装要点 (7) 4.3、测试点选择 (8) 4.3、调试方法 (8) 五、心得体会 (8) 六、任务分配 (9)

一.设计目的 通过上个学期的通信电子电路的学习,我们以小组为单位展开LC 震荡电路的设计工作。通过此次课程设计,锻炼我们的团队合作,收集资料,软件使用,理论计算等各方面的能力,让我们的综合素质进一步提高。 二.设计内容 题目一 LC 高频振荡器与变容二极管调频电路设计 已知条件 +Vcc=12V ,高频三极管3DGG100,变容二极管2CCIC 。 性能指标 主振频率MHz 5f 0=,频率稳定度400/510/f f -?≤?小时,主振级的输出电压1V o V ≥,最大频偏kHz 10m =?f 报告要求 给出详细的原理分析,计算步骤,电路图和结果分析。 仪器设备 函数信号发生器/计数器EE1641B 调制度测量仪HP8901A 高频信号发生器HP8640B 超高频毫伏表DA - 36A 双踪示波器COS5020 无感起子数字万用表UT2003 高频Q 表 环形铁氧体高频变压器 三.设计原理与过程 3.1原理 振荡器主要分为RC ,LC 振荡器和晶体振荡器。其中电容器和电感器组成的LC 回路,通过电场能和磁场能的相互转换产程自由振荡。要维持振荡还要有具有正反馈的放大电路,LC 振荡器又分为变压器耦合式和三点式振荡器,现在很多应用石英晶体的石英晶体振荡器 ,还有用集成运放组成的LC 振荡器。 振荡器的作用主要是将直流电变交流电.它有很多用途.在无线电广播和通信设备中产生电磁波.在微机中产生时钟信号.在稳压电路中产生高频交流电.。 静态工作点的确定直接影响着电路的工作状态和振荡波形的好坏。由于振荡

数字集成电路课程设计74hc138

目录 1.目的与任务 (1) 2.教学内容基要求 (1) 3.设计的方法与计算分析 (1) 3.1 74H C138芯片简介 (1) 3.2 电路设计 (3) 3.3功耗与延时计算 (6) 4.电路模拟 (14) 4.1直流分析 (15) 4.2 瞬态分析 (17) 4.3功耗分析 (19) 5.版图设计 (19) 5.1 输入级的设计 (19) 5.2 内部反相器的设计 (19) 5.3输入和输出缓冲门的设计 (22) 5.4内部逻辑门的设计 (23) 5.5输出级的设计 (24) 5.6连接成总电路图 (24) 5.3版图检查 (24) 6.总图的整理 (26) 7.经验与体会 (26) 8.参考文献 (26) 附录 A 电路原理图总图 (28) 附录B总电路版图 (29)

集成 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2. 教学内容基本要求 2.1课程设计题目及要求 器件名称:3-8译码器的74HC138芯片 要求电路性能指标: ⑴可驱动10个LSTTL 电路(相当于15pF 电容负载); ⑵输出高电平时,OH I ≤20uA, min ,OH V =4.4V; ⑶输出低电平时, OL I ≤4mA , man OL V , =0.4V ⑷输出级充放电时间r t = f t , pd t <25ns ; ⑸工作电源5V ,常温工作,工作频率work f =30MHZ ,总功耗 max P =15mW 。 2.2课程设计的内容 1. 功能分析及逻辑设计; 2. 电路设计及器件参数计算; 3. 估算功耗与延时; 4. 电路模拟与仿真; 5. 版图设计; 6. 版图检查:DRC 与LVS ; 7. 后仿真(选做); 8. 版图数据提交。 2.3课程设计的要求与数据 1. 独立完成设计74HC138芯片的全过程; 2. 设计时使用的工艺及设计规则: MOSIS:mhp_ns5; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(λ)为单位的设计规则; 3. 设计的方法与计算分析 3.1 74HC138芯片简介

课程设计(数字日历钟表的设计)

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

《数字电子技术基础》_阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

相关文档
最新文档