数字电路应用实验指导书-开发板版本-20151219

数字电路应用实验指导书-开发板版本-20151219
数字电路应用实验指导书-开发板版本-20151219

数字电路应用实验指导书

上海大学机电一体化工程中心

2015年12月

目录

一、概述

二、实验一比较器

三、实验二码制转换器

四、实验三加法器

五、实验四优先编码器

六、实验五七段译码器

七、实验六计数器

八、实验七移位寄存器

九、实验八综合实验

十、附录A开发套件核心板ETL-005介绍十一、附录B ETL-005管脚位置约束

十二、附录C QuartusII软件介绍

一、前言

《数字电路应用》课是《数字电路A》的后续课程,本书是《数字电路应用》课的配套实验指导书。主要内容集中在用集成电路和Verilog语言进行时序逻辑电路设计,实验内容与《数字电路A》的实验内容对应,实验旨在使学生学会用硬件设计语言Verilog进行数字逻辑电路中常用逻辑功能模块的设计,大规模集成电路FPGA/CPLD的开发过程,实验内容与《数字电路A》的实验相对应,学习用不同方法设计数字逻辑功能电路、解决简单的实际工程问题的基本技能。

本指导书的实验使用的装置为“易上手”系列FPGA开发套件和微型计算机组成的数字电路实验系统。在FPGA/CPLD的实验中,培养学生学习和掌握Verilog 语言,以及它的开发环境QuartusII的操作过程。

与《数字电路A》的内容相对应,《数字电路应用》课的实验最终也要构成如下图所示的颗粒灌装系统:

其工作原理和流程如下:

(1)通过拨码开关设置每瓶要装糖果的个数(两位十进制),通过编码器转换为BCD码,存入到寄存器中,寄存器的值可以通过一个译码器显示在七段数码管1上;

(2)灌装的颗粒通过灌装装置上的漏斗落入下面传送带上的瓶中,漏斗上装有检测颗粒下落的光电传感器,每下落一个糖果,传感器发送一个脉冲,控制

系统中的计数器对该脉冲进行计数,计数的结果与预置的每瓶灌装的颗粒数进行比较,比较可以使用二进制或者BCD码,如果比较器两个输入的码制不同,需事先进行码制转换(将BCD转为二进制或者二进制转为BCD码);比较结果相等则使计数器停止计数,同时该信号控制关闭漏斗开关,停止颗粒下落,启动传送带换瓶等待下一次灌装。系统中加法器负责统计当前灌装总量,其数值送入寄存器寄存,寄存器的值可以通过码制转换和译码器显示在七段数码管2上。

(3)新瓶到位也由光电传感器检测,检测到瓶子以后,停止传送带移动,将计数器清零后启动计数器准备新一轮计数,打开漏斗开关进行新的灌装。系统重复执行步骤(2)过程。

同《数字电路A》,本课程的实验仍采用分别设计系统中的功能模块,最终综合拼接的方法。

开发套件核心板ETL-005的简单说明和各芯片管脚约束见附件A和B。

实验一比较器

实验目的

(1)熟悉Verilog语言的基本结构,了解基本运算符和赋值

(2)熟悉QuartusII的开发环境建立,以及仿真过程

(3)学会用Verilog语言实现组合逻辑电路的方法

(4)用Verilog语言设计组合逻辑电路的比较器

实验内容

编写设计比较器的Verilog代码并仿真

实验步骤和要求

设计比较器,如下图所示:

设计一个八位数比较器,输入为糖果计数器输出信号counter[3:0]和寄存器信号binReg[3:0],输出信号bEQU为数据相等信号,输出信号bBig为counter数据大于binReg数据信号,输出信号bSmall为counter数据小于binReg数据信号。具体功能要求如下:两个输入数据为相等时,相等信号bEQU输出为1,否则输出为0;counter数据大于binReg数据时,输出信号bBig为1,否则为0;counter 数据小于binReg数据信号时输出信号bSmall为1,否则为0。

电路管脚与信号定义如下:

(1)将比较器进行设计软件仿真。

(2)完成系统开发板级模块调试和功能验证。实验结果:

(1)绘制比较器的仿真波形图。

(2)撰写实验报告(含代码)。

实验二码制转换器

实验目的

用Verilog语言设计组合逻辑电路的码制转换器

实验内容

编写设计码制转换器的Verilog代码并仿真

实验步骤和要求

设计BCD码到二进制的码制转换器,如下图所示:

输入为一维数组Reg[7..0],共有八位,低四位和高四位分别为一个10进制数的BCD码,这个码制转换器将这2位数的BCD转换为二进制输出bReg[7..0]。

电路管脚与信号定义如下:

(1)将码制转换器进行设计软件仿真。

(2)完成系统开发板级模块调试和功能验证。

实验结果:

(1)绘制码制转换器的仿真波形图。

(2)撰写实验报告(含代码)。

实验三加法器

实验目的

用Verilog语言设计组合逻辑电路的加法器

实验内容

编写设计加法器的Verilog代码并仿真

实验步骤和要求

设计加法器,如下图所示:

输入为计数器的输出结果信号counter[3..0]和寄存器数据Reg[3..0],输出为加法结果addResult[3..0]和进位C8。加法器功能要求如下:counter[3..0]与Reg[7..0]相加,输出结果addResult[3..0]和进位C。

电路管脚与信号定义如下:

(1)将加法器进行设计软件仿真。

(2)完成系统开发板级模块调试和功能验证。

实验结果:

(1)绘制加法器的仿真波形图。

(2)撰写实验报告(含代码)。

实验四优先编码器

实验目的

用Verilog语言设计组合逻辑电路的优先编码器

实验内容

编写设计优先编码器的Verilog代码并仿真

实验步骤和要求

编码器将用拨码开关的(或键盘)输入编码为对应的BCD码表示,送到译码器和码制转换器的输入进行相应的转换(该部分电路在实验一中完成)。计数器负责统计灌装的颗粒数(脉冲数),与预设的数据(上述拨码开关输入)比较,结果用于进行换瓶控制。

设计优先编码器,如下图所示:

输入变量为每瓶糖果数设定拨码开关sW[8..1]信号,输出信号为与输入量对应的用BCD码表示的编码iReg[3..0](一位开关)。

电路实现根据拨码开关的设定,获得对应的BCD码表示的数字编码值的功能。例如开关sW[1]为0,则编码输出1;sW[2]为0,则编码输出2;依次类推。

电路管脚与信号定义如下:

(1)将优先编码器进行设计软件仿真。

(2)完成系统开发板级模块调试和功能验证。

实验结果:

(1)绘制优先编码器的仿真波形图。

(2)撰写实验报告(含代码)。

实验五七段译码器

实验目的

用Verilog语言设计组合逻辑电路的七段译码器

实验内容

编写设计七段译码器的Verilog代码并仿真

实验步骤和要求

七段译码器和码制转换器是灌装系统中最常用的器件,它们将用BCD码形式表示的预设灌装颗粒数转换为检测用的二进制数,同时将颗粒数显示在七段数码管上。

设计BCD到七位数码管的译码器,如下图所示:

输入为一维数组inRegH[3..0]和inRegL[3..0],分别表示数码管高位数据和低位数据,采用BCD码表示;输出为一维数组oLight[6..0]和sel[1..0],分别表示数码管的控制信号和数码管的片选控制信号,完成的功能就是把输入数据BCD码译码为LED数码管的控制信号。其中高位和低位通过译码器输出oLight[6..0]连接到LA0~LG0,同时控制sel信号进行片选控制。七段数码管的详细电路图,请查看附录1中的电路图。

电路管脚与信号定义如下:

(1)完成单个七段译码器设计软件仿真。

(2)完成多个七段译码器设计软件仿真。

(3)完成系统开发板级模块调试和功能验证。

实验结果:

(1)绘制译码器的仿真波形图。

(2)撰写实验报告(含代码)。

实验六计数器

实验目的

用Verilog语言设计时序逻辑电路的计数器

实验内容

编写设计计数器的Verilog代码并仿真

实验步骤和要求

设计计数器,如下图所示:

输入为糖果计数脉冲信号PULSE0、瓶到信号PULSE1、计数器数据与寄存器数据相等信号bEQU和总清零信号Reset,输出信号为计数信号Counter[7..0]。具体功能要求如下:当Reset为0时,计数器清零(输出全零);用实验箱上的脉冲输入信号PULSE0模拟计数脉冲(颗粒灌装过程),PULSE0脉冲信号PULSE0下降沿时,计数器计数;当比较器输出相等信号(bEQU为1)时,计数器停止计数并输出换瓶信号(可使用同一控制信号);当瓶到信号PULSE1为1时,计数器清零;

电路管脚与信号定义如下:

(1)将计数器进行设计软件仿真。

(2)完成系统开发板级模块调试和功能验证。实验结果:

(1)绘制计数器的仿真波形图。

(2)编写实验报告(含代码)。

实验七移位寄存器

实验目的

用Verilog语言设计时序逻辑电路的移位寄存器

实验内容

编写设计移位寄存器的Verilog代码并仿真

实验步骤和要求

设计寄存器,如下图所示:

输入为编码器的输出信号code[3..0]、锁存时钟PULSE3和清零信号Reset,输出信号为寄存器8位数据Reg[7..0]。其功能要求如下:当清零信号Reset为0时数据Reg[7..0]输出为0;当清零信号Reset为0时,数据Reg[7..0]输出为0;其它情况下,当锁存时钟PULSE3下降沿时,读入数据code[3..0],并保存到数据Reg[3..0]中,当锁存时钟PULSE3上升沿时,读入数据code[3..0],并保存到数据Reg[7..4]中。

电路管脚与信号定义如下:

(1)将移位寄存器进行设计软件仿真。

(2)完成系统开发板级模块调试和功能验证。实验结果:

(1)绘制移位寄存器的仿真波形图。

(2)编写实验报告(含代码)。

实验八综合实验

实验目的

(1)掌握Verilog模块设计和结构Verilog设计

(2)掌握QUARTUS的数据综合和芯片配置

(3)完成整个灌装系统的设计

实验内容

(1)对整个系统中各模块进行结构拼接,并进行整体仿真

(2)完成芯片配置和下载,验证系统

实验步骤和要求

(1)设计整个灌装系统,如下图所示:

输入设定:

每瓶糖果数设定使用拨码开关sW[8..1]、Reset为总清零、用PULSE0输入糖果计数脉冲信号、PULSE1给出新瓶到位信号,PULSE3作为锁存器时钟控制信号,与sW[8..1]同时使用,完成两位糖果灌装数输入。

输出设定:

计数器Counter[7..0],静态oLight[6..0]、数码管片选信号sel[1..0]。

实验要求:

将已经做过的各功能模块按原理图连接状态拼接,具体步骤如下:

系统总清零:Reset为0时,所有信号输出清零,包括计数器Counter[7..0]、数码显示静态oLight[6..0]、数码管片选信号sel[1..0];

PULSE0与拨码开关sW[8..1]连接优先编码器coder获得灌装数十位数字编

码iReg[3..0];

iReg[3..0]一次存入Reg[7..0]的高四位,一次存入Reg[7..0]的低四位。

PULSE0与拨码开关sW[8..1]获取灌装数的个位数编码iReg[3..0]

设定数据Reg[7..0]连接译码器驱动LED数码管,输出为oLight[6..0]和sel[1..0];

糖果计数脉冲信号PULSE0连接计数器counter获得每瓶灌装的糖果颗粒数Counter[7..0];

糖果颗粒数Counter[7..0]和每瓶糖果颗粒数目设定数据Reg[7..0]连接比较器comparator,获得每瓶数据相等信号bEQU;

相等信号bEQU和瓶到信号PULSE1反馈连接计数器,对计数器进行控制。

电路管脚与信号定义如下:

基础工业工程实验指导书(完整版)

实验1 流程程序分析 一、实验目的 1、学会用程序分析符号、记录并绘制某产品(或零件、服务)的流程程序图。 2、学会用“5W1H”分析(完成了什么?何处做?何时做?由谁做?如何做?为什么要这样做?)技术发掘问题,用“ECRS”原则来改进程序。 二、实验说明 1、流程程序分析是以产品或零件的加工全过程为对象,运用程序分析技巧对整个流程程序中的操作、搬运、贮存、检验、暂存五个方面加以记录和考查、分析。流程程序分析是对生产现场的宏观分析,但它比工艺流程更具体、内容更详细,用途更广泛。 2、运用“5W1H”提问技术,对“操作”、“搬运”、“贮存”、“检验”、“暂存”五个方面进行考查、逐项提问,从而达到考查、分析、发掘问题的目的。 3、在发掘问题的基础上,应用取消、合并、重排、简化四大原则来建立新的程序。 三、实验器材 电子天平、电子秒表、计算器、胶带台、胶带、胶水、记录板、A4纸、包装纸、物流箱等。 四、实验分组 5~6人一组,1人模拟顾客,1人模拟邮局业务员,1人使用记录板记录,1人使用电子秒表测时,其他人认真观察,做些辅助工作。 五、实验内容及步骤 本实验模拟邮局邮包发送流程,可参考下列流程进行: (1)顾客到达。(流程分析起点); (2)询问业务; (3)等待顾客填单; (4)从顾客手中接邮包和填好的包裹单;

(5)包装邮寄物; (6)称重; (7)使用计算器计算邮资;(2元起价,含200克,200克以上按1分/克计算邮资) (8)向顾客收取邮资; (9)登帐(实为计算机操作,这里用手工记账代替); (10)贴包裹单; (11)贴邮票; (12)将包裹放入邮件暂存箱; (13)把包裹单第二联交顾客; (14)顾客离开,服务结束。 实验时,先模拟1~2遍,然后负责记录的同学使用流程图符号记录“邮局业务员”的实际工作流程,绘制流程程序分析简图。同时记录时间和移动距离等参考数据。 六、实验报告要求 使用实习报告纸或课程设计纸书写。实验报告应包含以下内容: (1)实验目的;(2)实验器材;(3)实验分组;(4)实验内容与步骤; (5)5W1H分析过程;(6)ECRS改善过程;(7)规范的以为人主的流程程序图(含现行方法和改善方法)。(8)对分析改善进行总结。

电路实验指导书

实验一万用表原理及应用 实验二电路中电位的研究 实验三戴维南定理 实验四典型信号的观察与测量 实验五变压器的原副边识别与同名端测试

实验一万用表原理及使用 一、实验目的 1、熟悉万用表的面板结构以及各旋钮各档位的作用。 2、掌握万用表测电阻、电压、电流等电路常用量大小的方法。 二、实验原理 1、万用表基本结构及工作原理 万用表分为指针式万用表、数字式万用表。从外观上万用表由万用表表笔及表体组成。从结构上是由转换开关、测量电路、模/数转换电路、显示部分组成。指针万用表外观图见后附。其基本原理是利用一只灵敏的磁电式直流电流表做表头,当微小电流通过表头,就会有电流指示。但表头不能通过大电流,因此通过在表头上并联串联一些电阻进行分流或降压,从而测出电路中的电流、电压、电阻等。万用表是比较精密的仪器,如若使用不当,不仅会造成测量不准确且极易损坏。 1)直流电流表:并联一个小电阻 2)直流电压表:串联一个大电阻 3)交流电压表:在直流电压表基础上加入二极管 4)欧姆表

2、万用表的使用 (1)熟悉表盘上的各个符号的意义及各个旋钮和选择开关的主要作用。 (2)使用万用表之前,应先进行“机械调零”,即在没有被测电量时,使万用表指针指在零电压或零电流的位置上。 (3)选择表笔插孔的位置。 (4)根据被测量的种类和大小,选择转换开关的档位和量程,找出对应的刻度线。 (5)测量直流电压 a.测量电压时要选择好量程,量程的选择应尽量使指针偏转到满刻度的2/3左右。如果事先不清楚被测电压的大小时,应先选择最高量程。然后逐步减小到合适的量程。 b.将转换开关调至直流电压档合适的量程档位,万用表的两表笔和被测电路与负载并联即可。 c.读数:实际值=指示值*(量程/满偏)。 (6)测直流电流 a.将万用表转换开关置于直流电流档合适的量程档位,量程的选择方法与电压测量一样。 b.测量时先要断开电路,然后按照电流从“+”到“-”的方向,将万用表串联到被测电路中,即电流从红表笔流入,从黑表笔流出。如果将万用表与负载并联,则因表头的内阻很小,会造成短路烧坏仪表。 c.读数:实际值=指示值*(量程/满偏)。 (7)测电阻 a.选择合适的倍率档。万用表欧姆档的刻度线是不均匀的,所以倍率挡的选择应使指针停留在刻度较稀的部分为宜,且指针接近刻度尺的中间,读数越准确。一般情况下,应使指针指在刻度尺的1/3~2/3之间。

实验指导书 实验二_SolidWorks建模1

实验二 SolidWorks 草绘特征和放置特征操作(一) 一、 实验目的 1. 掌握基本零件建模的一般步骤和方法 2. 掌握SolidWorks 草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、 放样的操作方法。 3. 掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特 征、筋的操作方法 二、 实验内容 完成下列下列零件造型 三、 实验步骤 1. 连接件设计 完成如图 1 (1) (2) 2 所示。 图 1连接件 图 2草图 (3) 单击【拉伸凸台/ 框内选择【两侧对称】选项,在【深度】文本框内输入“54mm ”,单击【确定】按钮,如图 3所示。 图 3 “拉伸”特征 (4) 120°”,然后 在第二参考中选择图形的一条下边线。单击【确定】按钮,建立新基准面,如

错误!未找到引用源。所示。 (5) 1,选择“反转法线” 1,单选择 4所示。 图4草图 图4建立基准面 底面边线

(6) 单击【拉伸凸台/ 列表框内选择【给定深度】选项,在【深度】文本框内输入“12mm”,单击【确定】按钮,如图5所示。 图5“拉伸”特征 (7)选取基体上表面,单击【草图绘制】进入草图绘制,使用中心线工具在 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图6所示。 图 6 中心线 (8) 内输入“8mm”,在图形区域选择中心线,在属性管理器中选中【添加尺寸】、【选择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】按钮,标注尺寸,完成草图,如图7所示。 运用“等距实体”绘制草图 (8) -拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮,如图8所示。

(完整版)离散数学实验指导书及其答案

实验一命题逻辑公式化简 【实验目的】加深对五个基本联结词(否定、合取、析取、条件、双条件)的理解、掌握利用基本等价公式化简公式的方法。 【实验内容】用化简命题逻辑公式的方法设计一个表决开关电路。 实验用例:用化简命题逻辑公式的方法设计一个 5 人表决开关电路,要求 3 人以上(含 3 人)同意则表决通过(表决开关亮)。 【实验原理和方法】 (1)写出5人表决开关电路真值表,从真值表得出5 人表决开关电路的主合取公式(或主析取公式),将公式化简成尽可能含五个基本联结词最少的等价公式。 (2)上面公式中的每一个联结词是一个开关元件,将它们定义成 C 语言中的函数。 (3)输入5人表决值(0或1),调用上面定义的函数,将5人表决开关电路真值表的等价公式写成一个函数表达式。 (4)输出函数表达式的结果,如果是1,则表明表决通过,否则表决不通过。 参考代码: #include int vote(int a,int b,int c,int d,int e) { // 五人中任取三人的不同的取法有10种。 i f( a&&b&&c || a&&b&&d || a&&b&&e || a&&c&&d || a&&c&&e || a&&d&&e || b&&c&&d || b&&c&&e || b&&d&&e || c&&d&&e) return 1; else return 0; } void main() { i nt a,b,c,d,e; printf(" 请输入第五个人的表决值(0 或1,空格分开):"); scanf ("%d%d%d%d%d",&a,&b,&c,&d,&e); i f(vote(a,b,c,d,e)) printf(" 很好,表决通过!\n"); else printf(" 遗憾,表决没有通过!\n"); } // 注:联结词不定义成函数,否则太繁 实验二命题逻辑推理 【实验目的】加深对命题逻辑推理方法的理解。【实验内容】用命题逻辑推理的方法解决逻辑

电力电子实验指导书完全版范本

电力电子实验指导 书完全版

电力电子技术实验指导书 目录 实验一单相半波可控整流电路实验........................... 错误!未定义书签。实验二三相桥式全控整流电路实验........................... 错误!未定义书签。实验三单相交流调压电路实验 .................................. 错误!未定义书签。实验四三相交流调压电路实验 .................................. 错误!未定义书签。实验装置及控制组件介绍 ............................................ 错误!未定义书签。

实验一单相半波可控整流电路实验 一、实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用; 2.对单相半波可控整流电路在电阻负载及电阻电感负载时的工作做全 面分析; 3.了解续流二极管的作用; 二、实验线路及原理 熟悉单结晶体管触发电路的工作原理及线路图,了解各点波形形状。将单结晶体管触发电路的输出端“G”和“K”端接至晶闸管的门极和阴极, 即构成如图1-1所示的实验线路。 图1-1 单结晶体管触发的单相半波可控整流电路 三、实验内容 1.单结晶体管触发电路的调试; 2.单结晶体管触发电路各点电压波形的观察; 3.单相半波整流电路带电阻性负载时Ud/U2=f(α)特性的测定; 4.单相半波整流电路带电阻电感性负载时续流二极管作用的观察;

四、实验设备 1.电力电子实验台 2.RTDL09实验箱 3.RTDL08实验箱 4.RTDL11实验箱 5.RTDJ37实验箱 6.示波器; 7.万用表; 五、预习要求 1.了解单结晶体管触发电路的工作原理,熟悉RTDL09实验箱; 2.复习单相半波可控整流电路的有关内容,掌握在接纯阻性负载和阻 感性负载时,电路各部分的电压和电流波形; 3.掌握单相半波可控整流电路接不同负载时Ud、Id的计算方法。 六、思考题 1.单相桥式半波可控整流电路接阻感性负载时会出现什么现象?如何 解决? 七、实验方法 1.单相半波可控整流电路接纯阻性负载 调试触发电路正常后,合上电源,用示波器观察负载电压Ud、晶闸管VT两端电压波形U VT,调节电位器RP1,观察α=30o、60o、90o、120o、150o、180o时的Ud、U VT波形,并测定直流输出电压Ud 和电源电压U2,记录于下表1-1中。

电路实验指导书-

电路分析 实 验 指 导 书 安徽科技学院 数理与信息工程学院

实 验 内 容 实验一 电阻元件伏安特性的测量 一、实验目的 (1)学习线性电阻元件和非线性电阻元件伏安特性的测试方法。 (2)学习直流稳压电源、万用表、直流电流表、电压表的使用方法。 二、实验原理及说明 (1)元件的伏安特性。如果把电阻元件的电压取为横坐标(纵坐标),电流取为纵坐标(横坐标),画出电压和电流的关系曲线,这条曲线称为该元件的伏安特性。 (2)线性电阻元件的伏安特性在μ-i(或i-μ)平面上是通过坐标原点的直线,与元件电压或电流的方向无关,是双向性的元件,如图2.1-1,元件上的电压和元件电流之间的关系服从欧姆定律。元件的电阻值可由下式确定:α=μ= tg m m i R i u ,其中m u 、m i 分别为电压和电流在μ-i平面坐标上的比例尺,α是伏安特性直线与电流轴之间的夹角。我们经常使用的电阻器,如金属膜电阻、绕线电阻等的伏安特性近似为直线,而电灯、电炉等器件的伏安特性曲线或多或少都是非线性的。 (3)非线性电阻元件的伏安特性不是一条通过原点的直线,所以元件上电压和元件电流之间不服从欧姆定律,而元件电阻将随电压或电流的改变而改变。有些非线性电阻元件的伏安特性还与电压或电流的方向有关,也就是说,当元件两端施加的电压方向不同时,流过它的电流完全不同,如晶体二极管、发光管等,就是单向元件,见图2.1-2。 根据常见非线性电阻元件的伏安特性,一般可分为下述三种类型: 1)电流控制型电阻元件。如果元件的端电压是流过该元件电流的单值函数,则称为电流控制型电阻元件,如图2.1-3(a )所示。 2)电压控制型电阻元件。如果通过元件的电流是该元件端电压的单值函数,则称为电压控制型电阻元件,如图2.1-3(b)所示。 3)如果元件的伏安特性曲线是单调增加或减小的。则该元件既是电流控制型又是电压控制型的电阻元件,如图2.1-3(c )所示。 (4)元件的伏安特性,可以通过实验方法测定。用电流表、电压表测定伏安特性的方法,叫伏安法。测试线性电阻元件的伏安特性,可采用改变元件两端电压测电流的方法得到,或采取改变通过元件的电流而测电压的方法得到。

R语言实验指导书(二)

R语言实验指导书(二) 2016年10月27日

实验三创建和使用R语言数据集 一、实验目的: 1.了解R语言中的数据结构。 2.熟练掌握他们的创建方法,和函数中一些参数的使用。 3.对创建的数据结构进行,排序、查找、删除等简单的操作。 二、实验内容: 1.向量的创建及因子的创建和查看 有一份来自澳大利亚所有州和行政区的20个税务会计师的信息样本 1 以及他们各自所在地的州名。州名为:tas, sa, qld, nsw, nsw, nt, wa, wa, qld, vic, nsw, vic, qld, qld, sa, tas, sa, nt, wa, vic。 1)将这些州名以字符串的形式保存在state当中。 2)创建一个为这个向量创建一个因子statef。 3)使用levels函数查看因子的水平。 2.矩阵与数组。

i.创建一个4*5的数组如图,创建一个索引矩阵如图,用这个索引矩 阵访问数组,观察结果。 3.将之前的state,数组,矩阵合在一起创建一个长度为3的列表。

4.创建一个数据框如图。 5.将这个数据框按照mpg列进行排序。 6.访问数据框中drat列值为3.90的数据。

三、实验要求 要求学生熟练掌握向量、矩阵、数据框、列表、因子的创建和使用。

实验四数据的导入导出 一、实验目的 1.熟练掌握从一些包中读取数据。 2.熟练掌握csv文件的导入。 3.创建一个数据框,并导出为csv格式。 二、实验内容 1.创建一个csv文件(内容自定),并用readtable函数导入该文件。 2.查看R语言自带的数据集airquality(纽约1973年5-9月每日空气质 量)。 3.列出airquality的前十列,并将这前十列保存到air中。 4.查看airquality中列的对象类型。 5.查看airquality数据集中各成分的名称 6.将air这个数据框导出为csv格式文件。(write.table (x, file ="", sep ="", https://www.360docs.net/doc/7f400628.html,s =TRUE, https://www.360docs.net/doc/7f400628.html,s =TRUE, quote =TRUE)) 三、实验要求 要求学生掌握从包中读取数据,导入csv文件的数据,并学会将文件导出。

优化设计实验指导书(完整版)

优化设计实验指导书 潍坊学院机电工程学院 2008年10月 目录

实验一黄金分割法 (2) 实验二二次插值法 (5) 实验三 Powell法 (8) 实验四复合形法 (12) 实验五惩罚函数法 (19)

实验一黄金分割法 一、实验目的 1、加深对黄金分割法的基本理论和算法框图及步骤的理解。 2、培养学生独立编制、调试黄金分割法C语言程序的能力。 3、掌握常用优化方法程序的使用方法。 4、培养学生灵活运用优化设计方法解决工程实际问题的能力。 二、实验内容 1、编制调试黄金分割法C语言程序。 2、利用调试好的C语言程序进行实例计算。 3、根据实验结果写实验报告 三、实验设备及工作原理 1、设备简介 装有Windows系统及C语言系统程序的微型计算机,每人一台。 2、黄金分割法(0.618法)原理 0.618法适用于区间上任何单峰函数求极小点的问题。对函数除“单峰”外不作 其它要求,甚至可以不连续。因此此法适用面相当广。 0.618法采用了区间消去法的基本原理,在搜索区间内适当插入两点和,它们把 分为三段,通过比较和点处的函数值,就可以消去最左段或最右段,即完成一次迭代。 然后再在保留下来的区间上作同样处理,反复迭代,可将极小点所在区间无限缩小。 现在的问题是:在每次迭代中如何设置插入点的位置,才能保证简捷而迅速地找到极小点。 在0.618法中,每次迭代后留下区间内包含一个插入点,该点函数值已计算过,因此以后的每次迭代只需插入一个新点,计算出新点的函数值就可以进行比较。 设初始区间[a,b]的长为L。为了迅速缩短区间,应考虑下述两个原则:(1)等比收缩原理——使区间每一项的缩小率不变,用表示(0<λ<1)。 (2)对称原理——使两插入点x1和x2,在[a,b]中位置对称,即消去任何一边区间[a,x1]或[x2,b],都剩下等长区间。 即有 ax1=x2b 如图4-7所示,这里用ax1表示区间的长,余类同。若第一次收缩,如消去[x2,b]区间,则有:λ=(ax2)/(ab)=λL/L 若第二次收缩,插入新点x3,如消去区间[x1,x2],则有λ=(ax1)/(ax2)=(1-λ)L/λL

电路实验指导书

实验一元件伏安特性的测试 一、实验目的 1.掌握线性电阻元件,非线性电阻元件及电源元件伏安特性的测量方法。 2.学习直读式仪表和直流稳压电源等设备的使用方法。 二、实验说明 电阻性元件的特性可用其端电压U与通过它的电源I之间的函数关系来表示,这种U与I的关系称为电阻的伏安关系。如果将这种关系表示在U~I平面上,则称为伏安特性曲线。 1.线性电阻元件的伏安特性曲线是一条通过坐标原点的直线,该直线斜率的倒数就是电阻元件的电阻值。如图1-1所示。由图可知线性电阻的伏安特性对称于坐标原点,这种性质称为双向性,所有线性电阻元件都具有 这种特性。 -1 图 半导体二极管是一种非线性电阻元件,它的阻值随电流的变化而变化,电压、电流不服从欧姆定律。半导体二极管的电路符号用 表示,其伏安特性如图1-2所示。由图可见,半导体二极管的电阻值随着端电压的大小和极性的不同而不同,当直流电源的正极加于二极管的阳极而负极与阴极联接时, 二极管的电阻值很小,反之二极管的电阻值很大。 2.电压源 能保持其端电压为恒定值且内部没有能量损失的电压源称为理想电压源。理想电压源的符号和伏安特性曲线如图1-3(a)所示。 理想电压源实际上是存在的,实际电压源总具有一定的能量损失,这种实际电压源可以用理想电压源与电阻的串联组合来作为模型(见图1-3b)。其端口的电压与电流的关系为: s s IR U U- = 式中电阻 s R为实际电压源的内阻,上式的关系曲线如图1-3b 所示。显然实际电压源的内阻越小,其特性越接近理想电压源。 实验箱内直流稳压电源的内阻很小,当通过的电流在规定的范围内变化时,可以近似地当作理想电压源来处理。 (a) (b) i s I 1

实验指导书实验二_SolidWorks建模1

实验二SolidWorks草绘特征和放置特征操作(一) 一、实验目的 1.掌握基本零件建模的一般步骤和方法 2.掌握SolidWorks草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、放样的操 作方法。 3.掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特征、筋的 操作方法 二、实验内容 完成下列下列零件造型 三、实验步骤 1. 连接件设计 完成如图1所示模型。 (1)单击【新建】按钮一1,新建一个零件文件。 (2)选取前视基准面,单击【草图绘制】按钮一I,进入草图绘制,绘制草图,如图2 所示。 图1连接件图2草图 ⑶ 单击【拉伸凸台/基体】按钮,出现【拉伸】属性管理器,在【方向】下拉列表 框内选择【两侧对称】选项,在【深度】文本框内输入" 54mm ”,单击【确定】 按钮,如图3所示。 (4)单击【基准面】按钮一1,出现【基准面】属性管理器,其中第一参考选择图形下底面, 然后单击【两面夹角】按钮日,在【角度】文本框内输入"120°,然后在第二参考中选择 图形的一条下边线。单击【确定】按钮¥,,建立新基准面,如

错误!未找到引用源。所示。 图4建立基准面 (5) 在设计树中右击基准面 1选择“反转法线” 卜,然后再单击基准 面 1单选择 【草图绘制】按钮 ,进入草图绘制,单击【正视于】按钮 ,绘制草图,如图 4所示。 边线 底面 图4草图

(6) 单击【拉伸凸台/基体】按钮 ,出现【拉伸】属性管理 器,在【终止条件】下拉 列表框内选择【给定深度】选项,在【深度】文本框内输入“ 12mm ”,单击【确 定】按钮1 如图5所示。 (7) 选取基体上表面,单击【草图绘制】 按钮_1,进入草图绘制,使用中心线工具 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图 6所示。 图6中心线 (8) 单击【等距实体】按钮丄,出现【等距实体】属性管理器,在【等距距离】文本框 内输入 “8mm ”,在图形区域选择中心线, 在属性管理器中选中 【添加尺寸】、【选 择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】 按钮 ,标注尺寸,完成草图,如图 7所示。 律黑 __________________ 严 玄[B 总 -召 厂[.砲 r 韦歼左眛編◎也 17比自口 R an (A ) 广 Efetfi- 图_7运用“等距实体”绘制草图 (8)单击【拉伸切除】按钮 □,出现【切除-拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮 ,如图8所示。 图5 “拉伸”特征

《计算机操作系统》实验指导书

《计算机操作系统》 实验指导书 (适合于计算机科学与技术专业) 湖南工业大学计算机与通信学院 二O一四年十月

前言 计算机操作系统是计算机科学与技术专业的主要专业基础课程,其实践性、应用性很强。实践教学环节是必不可少的一个重要环节。计算机操作系统的实验目的是加深对理论教学内容的理解和掌握,使学生较系统地掌握操作系统的基本原理,加深对操作系统基本方法的理解,加深对课堂知识的理解,为学生综合运用所学知识,在Linux环境下调用一些常用的函数编写功能较简单的程序来实现操作系统的基本方法、并在实践应用方面打下一定基础。要求学生在实验指导教师的帮助下自行完成各个操作环节,并能实现且达到举一反三的目的,完成一个实验解决一类问题。要求学生能够全面、深入理解和熟练掌握所学内容,并能够用其分析、设计和解答类似问题;对此能够较好地理解和掌握,并且能够进行简单分析和判断;能够熟练使用Linux用户界面;掌握操作系统中进程的概念和控制方法;了解进程的并发,进程之间的通信方式,了解虚拟存储管理的基本思想。同时培养学生进行分析问题、解决问题的能力;培养学生完成实验分析、实验方法、实验操作与测试、实验过程的观察、理解和归纳能力。 为了收到良好的实验效果,编写了这本实验指导书。在指导书中,每一个实验均按照该课程实验大纲的要求编写,力求紧扣理论知识点、突出设计方法、明确设计思路,通过多种形式完成实验任务,最终引导学生有目的、有方向地完成实验任务,得出实验结果。任课教师在实验前对实验任务进行一定的分析和讲解,要求学生按照每一个实验的具体要求提前完成准备工作,如:查找资料、设计程序、完成程序、写出预习报告等,做到有准备地上机。进行实验时,指导教师应检查学生的预习情况,并对调试过程给予积极指导。实验完毕后,学生应根据实验数据及结果,完成实验报告,由学习委员统一收齐后交指导教师审阅评定。 实验成绩考核: 实验成绩占计算机操作系统课程总评成绩的20%。指导教师每次实验对学生进行出勤考核,对实验效果作记录,并及时批改实验报告,综合评定每一次的实验成绩,在学期终了以平均成绩作为该生的实验成绩。有以下情形之一者,实验成绩为不及格: 1.迟到、早退、无故缺勤总共3次及以上者; 2.未按时完成实验达3次及以上者; 3.缺交实验报告2次及以上者。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

《面向对象程序设计》实验指导书(实验二)

实验二类与对象㈡——对象初始化、对象数据与指针 一、实验目的 1.理解构造函数、析构函数的意义及作用,掌握构造函数、析构函数的定义及调用时间,熟悉构造函数的种类; 2.理解this指针及使用方法,熟悉对象数组、对象指针、对象引用的定义及使用方法,熟悉对象作为函数参数的使用方法; 3.熟悉类与对象的应用及编程。 二、实验学时 课内实验:2课时课外练习:2课时 三本实验涉及的新知识 ㈠构造函数与析构函数 在C++中,提供了两个特殊的成员函数,即构造函数和析构函数。 构造函数用于对象的初始化,即在定义一个类对象时,计算机在给对象分配相应的存储单元的同时,为对象的数据成员赋初值。 析构执行的是与构造函数相反的操作,用于撤销对象的同时释放对象所占用的内存空间。 1.构造函数 ⑴构造函数的定义 格式: 类名(形参表) { 构造函数体} ⑵构造函数的调用 构造函数的调用是在定义对象时调用的。 格式:类名对象名(实参表); 类名对象名=构造函数名(实参表); ⑶说明 ①构造函数必须与类同名。 ②构造函数没有返回值,但不能在构造函数前加void类型符(其他没有返回值的成员函数必须加类型符void)。 ③在实际应用中,在定义类时通常应定义一至多个构造函数(重载),以对各数据成员进行初始化;如果不给出构造函数,系统将自定义一个构造函数。 ④构造函数可以可以带参数,也可不带任何参数(称无参构选函数),还可以使用缺省参数。 ⑤不能象普通成员函数一样单独调用。 2.析构函数 ⑴析构函数的定义 格式: ~类名(void) { 析构函数体} ⑵析构函数的调用 析构函数是在撤销对象时自动调用的。 ⑶说明

(完整版)汽车构造实验指导书(精)

汽车构造实验指导书 李国政编 青岛大学机电工程学院车辆工程系 2006年2月

前言 汽车整车拆装实训课是汽车专业的重要实践环节,它与课堂讲授课密切配合,共同完成教学大纲规定的教学任务。通过实训课,使同学们建立汽车整车构造的实物概念,进一步巩固课堂讲授的知识,更深入的了解汽车各总成部件构造细节及名称,熟悉汽车部件的拆装及操作工艺,为后继专业课程及专业性实习打下基础。 实训课的目的是配合课堂教学、结合实物系统的分解观察掌握汽车主要零部件的功能、组成、结构、类型和工作原理。 实训课的教学内容包括实物讲授和拆装观察分析两部分。 实物讲授是由于有些内容受条件限制,在课堂上难以讲清,故安排在实验课中结合实物进行讲授。 拆装观察是对完整的实物或重要总成分解成零件,然后分析观察零件的形状,安装定位基准,各部件的关系,调整方法和装配工艺,培养学生的实际动手能力和思考分析能力。 为使实训课顺利进行,对学生提出以下要求: 1.实训前要全面复习课堂讲授的有关内容,记住其主要内容。 2.实训中听从教师指导、严格遵守实验室各项规章制度,注意安全。 3.爱护实训教具及设备,与实验课无关的设备不要乱动。 4.在实训中要认真观察分析各零部件,要勤学多问,总结实训收获,认真完成实训报告。 实训地点:车辆实验室

实训一汽车及发动机的总体构造 一、目的 1.通过实训对汽车的组成、总布置型式以及各总成有一个初步认识; 2.了解各组成部分的基本功用及在结构上的相互联系; 3.初步了解不同类型的汽车的结构特征。 二、基础知识 1.汽车总体构造 汽车由许多不同的装置和部件组成,其结构型式和安装位置多种多样。汽车所用的动力装置不同时,其总体构造差异很大。汽车主要由发动机、底盘、车身和电气设备等四部分组成。小轿车还装有空调和其他附属设备。 (1)发动机 使供入其中的燃油燃烧产生动力,是汽车行驶的动力源泉。 (2)底盘 接受发动机的动力,使汽车正常行驶。由传动系、行驶系、转向系和制动系组成。 行驶系—安装部件、支承全车并保证行驶。由车架、车桥、车轮和悬架等组成。 转向系—保证汽车按驾驶员选定的方向行驶。由转向器和转向传动机构组成。 制动系—使汽车能减速行驶以至停车,并保证汽车能可靠停驻。 (3)车身 用以安置驾驶员、乘客或货物。客车和轿车是整体车身;普通货车 车身由驾驶室和货箱组成。 (4) 电气设备 由电源和用电设备组成,包括发电机、蓄电池、起动系、点火系以及汽车的照明、信号装置和仪表等。此外,在现代汽车上愈来愈多装用的各种电子设备:微处理机、中央计算机系统及各种人工智能装置(自诊、防盗、巡航、防抱死、车身高度自调等),显著地提高了汽车的使用性能。 三、实训内容 1.长安6331A型微型客车及日本五十铃的总体结构。 2.北内109发动机、天津夏利轿车发动机及日本皇冠3.0发动机的总体构造。 3.CA1091及桑塔纳汽车模型及部件模型的观察。 四、实训报告 汽车的布置型式通常有几种,各有何优点?实验中各车采取何种布置型式?试述原因。

管理信息系统课程实验指导书2017版

《管理信息系统》课程 上机指导书 学生姓名 指导教师 所属学院 专业班级 经济与管理学院 2017年2月16日

实验一 认识管理信息系统 一、实验目的 (1)能够对管理信息系统有初步的认识; (2)通过网络了解管理信息系统的应用动态。 二、实验内容 (1)网络搜索管理信息系统的动态,以一个系统为主,熟悉该系统的功能 (2)分析各模块中应设计的数据表。 (5)提交书面实验报告。 四、实验步骤 1、根据网上搜索,选定一个具体管理信息系统作为对象(如淘宝平台,本校图书管理系统,教务管理系统); 2、了解各模块的功能并分析各模块中具有的数据表。 五、实验环境(硬/软件要求):微机:每人1台 六、实验报告要求 (1)每个学生完成一份实验报告; (2)实验报告的内容包括:实验内容及目的,操作步骤及运行结果; (3)在实验报告的最后写明实验体会和实验中存在的问题。

实验一 ***管理信息系统规划 一、实验目的 通过对*管理信息系统开发,让学生了解管理信息系统规划工作的重点,掌握系统规划报告说明书的写作能力。 二、实验内容 (1)根据网上搜索了解管理信息系统的应用动态(如淘宝平台,本校图书管理系统,教务管理系统),选定一个具体管理信息系统作为后续研发对象。 (2)进行***管理信息系统总体规划工作:以整个系统为分析对象,确定系统的总体目标、总要求、主要功能结构、性能要求、投资规模、资源分配、可行性等,对系统进行全面规划。本规划内容要求完成以下内容: (1)背景 (2)现行业务状况,存在的问题 (3)说明项目开发的目标(功能、服务范围和质量) (4)项目的可行性分析 (5)拟采用的信息系统的方法 (6)项目小组的角色分配 (7)项目开发过程时间进度、人员、资金安排 三、实验环境 硬/软件要求:微机:每人1台;软件:Windows XP,Office2003或以上(包括Access)四、实验报告 学生提交一份***管理信息系统系统规划书 规划书提交要点: 一、背景 二、现行业务状况,存在的问题 三、说明项目开发的目标和约束 四、项目的可行性分析 五、拟采用的信息系统的方法 六、项目小组的角色分配 七、项目开发过程时间进度、人员、资金安排

实验指导书模板2

请大家按照以下要求更改自己负责的实验(实训)指导书 一、最大标题1 宋体二号加粗居中单倍行距两个空格 二、最大标题2 宋体三号加粗居中 1.5倍行距两个空格 三、一级标题宋体小四加粗两端对齐首行缩进2个字符 1.5倍行距顶格 四、正文宋体小四常规两端对齐首行缩进2个字符 1.5倍行距 五、正文页边距左、右、上2.5cm。下2.1cm 六、注意事项: 1.最重要的是内容不能出错,前后语句要连贯,意思表达完成。 2.标点符号要使用准确。 3.每个结束句子后面要有句号。 4.所有标题号手动输入,不能自动生成。 5.标题级别:一、(一)1.(1)① 七、请大家在群里原文件更改,更改后的文件写上姓名+负责课程(王旭霞+人体解剖学) 八、附件一、附件二模板。

实验指导书模板二: 第二部分内科护理学(最大标题1) 实训一胸腔穿刺术(最大标题2) 一、实训目的(一级标题) (一)胸腔积液性质不明者,抽取积液检查,协助病因诊断。(二级标题) (二)胸腔内大量积液或积气者,抽取积液或积气,以缓解压迫症状,避免胸膜粘连增厚。 (三)脓胸抽脓灌洗治疗,或恶性胸腔积液需胸腔内注人药物者。 二、实训仪器 (一)胸腔穿刺包:内含弯盘2个、尾部连接乳胶管的16号和18号胸腔穿刺针各1根、中弯止血钳4把孔巾1块、巾钳2把、棉球10个纱布2块、小消毒杯2个、标本留置小瓶5个。 (二)消毒用品:2.5%碘酊和75%酒精,或0.5%碘伏。 (三)麻醉药物:2%利多卡因5ml。 三、实训内容 (一)患者体位抽液时,协助患者反坐于靠背椅上,双手放椅背上或取坐位,使用床旁桌支托;亦可仰卧于床上,举起上臂;完全暴露胸部或背部。如患者不能坐直,还可来用侧卧位,床头抬高30°抽气时,协助患者取半卧位。 (二)穿刺部位一般胸腔积液的穿刺点在肩胛线或腋后线第7-8肋间隙或腋前线第5肋间隙。气胸者取患侧锁骨中线第2肋间隙或腋前线第4-5肋间隙进针。 (三)穿刺方法常规消毒皮肤,局部麻醉。术者左手食指和拇指固定穿刺部位的皮肤,右手将穿刺针在局部麻醉处沿下位肋骨上缘缓慢刺人胸壁直达胸膜。连接注射器,在助手协助下抽取胸腔积液或气体,穿刺过程中应避免损伤脏层胸膜,并注意保持密闭,防止发生气胸。术毕拔出穿刺针,再次消毒穿刺点后,覆盖无菌敷料,稍用力压迫容刺部位片刻。 四、注意事项 (一)术前护理 1.心理准备向患者及家属解释穿刺目的操作步骤及术中注意事项,协助患者做好

嵌入式系统实验指导指导书完整版

嵌入式系统实验指导王艳春英一劲松

实验一嵌入式微处理器系统的开发环境 一、实验环境 PC机一台 软件: ADS 1.2集成开发环境一套 二、实验目的 1.了解嵌入式系统及其特点; 2.熟悉嵌入式系统的开发环境和基本配置并能编写简单的汇编程序 三、实验容 1.嵌入式系统的开发环境、基本配置 2.使用汇编指令完成简单的加法实验 四、实验步骤 (1)在D:\新建一个目录,目录名为experiment。 (2)点击 WINDOWS 操作系统的“开始|程序|ARM Developer Suite v1.2 |Code Warrior for ARM Developer Suite”启动Metrowerks Code Warrior,或双击“ADS 1.2”快捷方式启动。启动ADS 1.2 如图1-1所示: 图1-1启动ADS1.2 (3) 在CodeWarrior 中新建一个工程的方法有两种,可以在工具栏中单击“New”按钮, 也可以在“File”菜单中选择“New…”菜单。这样就会打开一个如图1-2 所示的对话框。选择【File】->【New…】,使用ARM Executable Image工程模板建立一个工程,名称为ADS,目录为D:\experiment。 图1-2 新建文件 在这个对话框中为用户提供了7 种可选择的工程类型:

1)ARM Executabl Image:用于由ARM 指令的代码生成一个ELF 格式的可执行映像文件;2)ARM Object Library:用于由ARM 指令的代码生成一个armar 格式的目标文件库; 3)Empty Project:用于创建一个不包含任何库或源文件的工程; 4)Makefile Importer Wizard:用于将Visual C 的nmake 或GNU make 文件转入到CodeWarrior IDE 工程文件; 5)Thumb ARM Executable Image:用于由ARM 指令和Thumb 指令的混和代码生成一个可执行的ELF 格式的映像文件; 6)Thumb Executable image:用于由Thumb 指令创建一个可执行的ELF 格式的映像文件;7)Thumb Object Library:用于由Thumb 指令的代码生成一个armar 格式的目标文件库。(4)选择【File】->【New…】建立一个新的文件TEST1.S,设置直接添加到项目中。输入如程序代码,并保存,此时在工程窗口中可以看到TEST1.S文件。 图1-3 新建test1.s (5) 选择【Edit】->【Perferences…】,在Font选项设置字体是Fixedsys,Script是CHINESE_GB2312。 图1-4 设置字体 (6) 选择【Edit】->【DebugRel Settings…】,在DebugRel Settings对话框的左边选择ARM Linker项,设置地址。 点击“DebugRel Settings…”图标按钮,即可进行工程的地址设置、输出文件设置、编

测控电路实验指导书(DOC)

《测控电路》实验指导书 王月娥编写 电子工程与自动化学院

目录 实验一典型放大器的设计 (5) 实验二精密检波和相敏检波实验 (8) 实验三信号转换电路实验 (12) 实验四细分电路实验 (14)

《测控电路》课程实验教学大纲 一、制定实验教学大纲的依据 根据本校《2011级本科指导性培养计划》和《测控电路》课程教学大纲制定。 二、本实验课在专业人才培养中的地位和作用 《测控电路》是测控技术与仪器专业专业任选课。电路实验技能是从事测控行业工作者的一项基本功。本实验课的教学目的就在于加强学生对《测控电路》课程有关理论知识的掌握以及测控电路实验技能和实验方法的训练。 三、本实验课讲授的基本实验理论 1、如何基于集成运算放大器设计模拟运算电路、电桥放大器以及仪用放大电路。 2、幅度调制与解调电路的原理。 3、信号转换电路原理。 4、电阻链细分电路的原理。 四、本实验课学生应达到的能力 1、培养学生独立分析电路的能力。 2、培养学生独立设计、搭接电路的动手能力。 3、培养学生使用典型电工电子学仪器的技能。 4、培养学生处理测量数据和撰写实验报告的能力。 五、学时、教学文件 学时:本课程总学时为32学时,其中实验为8学时,占总学时的25%。 六、实验考核办法与成绩评定 根据学生做实验的情况及实验报告,由指导教师给出成绩,成绩按优、良、中、及格、不及格五档给分。以15%的比例计入课程总成绩。 七、仪器设备及注意事项 注意事项:注意人身安全,保护设备。 八、实验项目的设置及学时分配 制定人: 审核人: 批准人:

注意事项 为了顺利完成实验任务,确保人身、设备的安全,培养学生严谨、踏实、实事求是的科学作风和爱护国家财产的优秀品质。要求每个学生在实验时,必须注意如下事项: 一、实验前必须充分预习,认真阅读实验指导书,明确实验任务及要求,弄清实验原理,拟定好实验方案,做好分工。 二、使用仪器设备前,必须熟悉其性能,预习操作方法及注意事项,并在使用时严格遵守操作规程。做到准确操作。 三、实验接线要认真检查,确定无误方可接通电源。初学或没有把握时,应请指导教师审查同意后再接通电源。使用过程中需要改线时,需先断开电源,才可拆、接线。 四、实验中应注意观察实验现象,认真记录实验结果(数据、波形及其它现象)。实验记录经指导教师审阅签字后,才可拆除实验线路。此记录应附在实验报告后,作为原始记录的依据。 五、实验过程中发生任何破坏性异常现象,(例如元器件冒烟、发烫有气味或仪器设备出现异常),应立即切断电源,保护现场,及时报告指导教师,不得自行处理。等待查明原因、排除故障、教师同意后,才能继续进行实验。如发生事故,应自觉填写事故报告单,总结经验,吸取教训。损坏仪器、器材,要服从实验室和指导教师对事故的处理。 六、实验结束后,关掉仪器设备的电源开关,再拉闸,并将工具、导线、仪器整理好,方可离开实验室。 七、遵守实验室纪律,注意保持实验室整洁、安静。不做与实验内容无关的事。 八、进行指定内容之外的实验,要经过指导教师的同意。不得乱动其他组的仪器设备、器材和工具。借用器材如有损坏、丢失,要按实验室规定赔偿。 九、实验后,应按要求认真书写实验报告,并按时交给教师。 十、每次实验结束,学生轮流协助实验室打扫卫生和整理仪器。以增强参与管理意识。

相关文档
最新文档