电子设计自动化大作业

电子设计自动化大作业
电子设计自动化大作业

第 1 页 共 4 页

班级 学号 姓名 命题教师 教研室(系)主任审核(签字)

…………………………………………装…………………………………订………………………………线………………………………………

装订线以内不准作任何标记

2012/2013学年第一学期考试题(卷)

课程名称

电子设计自动化

考试性质

考查 试卷类型 A

使用班级 电子0901~03电信0901~02 考试方法 闭卷

人 数 140

题 号 一

七 八 九

总 成 绩

成 绩

一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软

件名称及其特点。(15分)。

目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、

PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

VHDL仿真和Verilog HDL仿真。③MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C++代码等功能。MATLAB产品族具有下列功能:数据分析;数值和符号计算、工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB 产品族被广泛应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而在不断深化对问题的认识同时,提高自身的竞争力。

二、查阅资料,简述EDA技术的发展过程及趋势。(15分)

EDA技术的发展趋势从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员工发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。外设技术与EDA 工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA 市场的竞争。在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平沿很有限,需迎头赶上。

三、下面给出的共射晶体管放大电路原理图,如图1所示,要求如下:

(1)对电路的直流工作点分析;

(2)对电路进行瞬态分析,并分析输出波形是否失真;

(3)若电路输出波形出现失真,如何改善电路波形失真;

(4)测试电路的fL和fH。(本题20分)

第 2 页共 4 页

第 3 页 共 4 页

班级 学号 姓名 …………………………………………装……………………………订………………………………线………………………………………

装订线以内不

准作任

标记

Q 12N2222

R 1

2.0k o hm

R 3

2.0k o hm

R 2500o h m

R 54k o h m

R 410k o h m

C 110u F

C 3

10u F

C 2100u F

V 1

100m V 1000Hz 0Deg

V 312V

四、利用Protel99SE 软件画出DSP (TMS320VC33)系统原理图,并输出元器件列表,若元件库中没有的元件,需要手动建立元件库,然后,根据原理图设计PCB 图,给出设计图的信息。(本题共25分)

五、分别用Multisim2001和Max-PlusII 软件分析十进制计数器、译码器和

数码显示电路功能,对仿真结果进行截图放置在Word文档中。(本题共25分)本题提示:在Multisim2001系统中可采用74LS161、74LS47和七段显示译码电路组成并显示结果,对于时序关系可采用逻辑分析仪观察。在Max-PlusII软件中可采用元件库中的器件,连接成原理图,对译码后的结果仿真输出。

要求:1.本次大作业学生需独立完成,并整理成WORD文档,在规定的时间提交给任课教师。

2.需查阅资料的题目,需给出参考文献,

3.画图和仿真的题目的要求学生给出完成步骤和仿真结果。

第 4 页共 4 页

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

哈工大机械设计大作业V带传动设计完美版

哈工大机械设计大作业V带传动设计完美版

————————————————————————————————作者:————————————————————————————————日期: ?

Harbin Instituteof Technology 机械设计大作业说明书 大作业名称:机械设计大作业 设计题目:V带传动设计 班级: 设计者: 学号: 指导教师: 设计时间: 2014.10.25 哈尔滨工业大学

目录 一、大作业任务书 ........................................................................................................................... 1 二、电动机的选择 ........................................................................................................................... 1 三、确定设计功率d P ..................................................................................................................... 2 四、选择带的型号 ........................................................................................................................... 2 五、确定带轮的基准直径1d d 和2d d ............................................................................................. 2 六、验算带的速度 ........................................................................................................................... 2 七、确定中心距a 和V 带基准长度d L ......................................................................................... 2 八、计算小轮包角 ........................................................................................................................... 3 九、确定V 带根数Z ........................................................................................................................ 3 十、确定初拉力0F ......................................................................................................................... 3 十一、计算作用在轴上的压力 ....................................................................................................... 4 十二、小V 带轮设计 .. (4) 1、带轮材料选择 ............................................................................................................. 4 2、带轮结构形式 . (4) 十二、参考文献 ............................................................................................................................... 6 ?

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

河南机电高等专科学校电子设计自动化课程试卷

XX 机电高等专科学校《电子设计自动化》课程试卷 2006-2007学年第二学期 考试说明 为了避免考生在考试中因非技能因素影响考试成绩,特此将考试时值得注意的问题说明如下:请考生在考试前仔细阅读本考试说明,正式考试时按照本考试说明正确建立考生文件夹并保存考试结果文件。 在“资源管理器”中E 盘根目录下新建一个文件夹,文件夹 适用班级: 供电051、052;电力051-054; 电器051、052 出卷时间: 2007-4-17 考试方式: 闭卷 考试时间: 120分钟 课程性质: 考试 试卷类型: 样卷 一、原理图设计(60分) protel 设计,命名为Test.ddb 。在该设计中建立原理图文件,命名为One.Sch ,正确画出下图,并保存在该设计中。

图1 One.Sch

注:上图各元件参考模型如下表所示(元件序号可与下表所列不一致,但同一个序号不能重复出现): 二、PCB封装设计(10分) 元件封装,命名为Key,其尺寸和参考外形如下图所示:

图2 Key封装参考外形与尺寸 得分评卷人 三、PCB板绘制(共30分) 命名为Two.pcb,将One.sch各元件填上封装后,制成双面PCB板,该参考板如下图3所示,各元件参考封装如下表2所示。 元件类型元件序号封装 参考库 Part Type Designator Footprint 1N4001 D1 DIODE0.4 PCB Footprints.lib 1N4001 D2 DIODE0.4 PCB Footprints.lib 1N4001 D4 DIODE0.4 PCB Footprints.lib 1N4001 D3 DIODE0.4 PCB Footprints.lib 4 HEADER JP1 SIP4 PCB Footprints.lib 4 HEADER JP2 SIP4 PCB Footprints.lib 10k R1 AXIAL0.3 PCB Footprints.lib 100u C4 RB.2/.4 PCB Footprints.lib 100u C3 RB.2/.4 PCB Footprints.lib 150p C1 RAD0.1 PCB Footprints.lib ADC0804 U1 DIP20 PCB Footprints.lib CON4 J3 SIP4 PCB Footprints.lib DB25 J1 DB25/M PCB Footprints.lib SN74HC157 U2 DIP16 PCB Footprints.lib

(完整版)毕设-简易音乐喷泉设计

电子系统设计 大 作 业 课题:简易音乐喷泉的制作 组员: 任课老师:

目录 一、设计任务和分析 -------------------------------------------------------- 1 二、硬件电路设计 ---------------------------------------------------------- 1 2.1总体设计------------------------------------------------------------ 1 2.2各模块设计---------------------------------------------------------- 2 2.2.1单片机最小系统------------------------------------------------ 2 2.2.2 A/D转换模块------------------------------------------------- 3 2.2.3 音频放大模块-------------------------------------------------- 3 2.2.4 LED灯及电机------------------------------------------------- 4 三、程序设计-------------------------------------------------------------- 5 3.1主程序设计---------------------------------------------------------- 5 3.1.1设计框图------------------------------------------------------ 5 3.1.2程序代码------------------------------------------------------ 5 3.2 A/D转换程序设计--------------------------------------------------- 6 3.2.1 A/D转换程序原理--------------------------------------------- 6 3.2.2 A/D转换程序框图--------------------------------------------- 7 3.2.3 A/D转换子程序代码------------------------------------------- 8 3.3 PWM调压设计------------------------------------------------------- 9 3.3.1 程序框图 ---------------------------------------------------- 9 3.3.2 PWM调压子程序----------------------------------------------- 9 四、调试和测试结果分析 --------------------------------------------------- 11 4.1调试--------------------------------------------------------------- 11 4.2 结果分析 ---------------------------------------------------------- 12

哈尔滨工业大学机械设计大作业_带传动电算

H a r b i n I n s t i t u t e o f T e c h n o l o g y 上机电算说明书 课程名称:机械设计 电算题目:普通V带传动 院系:机电工程学院 班级: 设计者: 学号: 指导教师: 设计时间:2015.11.11-2015.12.1 哈尔滨工业大学

目录 一、普通V带传动的内容 (1) 二、变量标识符 (1) 三、程序框图 (2) 四、V带设计C程序 (3) 五、程序运行截图 (10) 参考文献 (11)

一、普通V带传动的内容 给定原始数据:传递的功率P,小带轮转速n1 传动比i及工作条件 设计内容:带型号,基准长度Ld,根数Z,传动中心距a,带轮基准直径dd1、dd2,带轮轮缘宽度B,初拉力F0和压轴力Q。 二、变量标识符 为了使程序具有较好的可读性易用性,应采用统一的变量标识符,如表1所示。表1变量标识符表。 表1 变量标识符表

三、程序框图

四、V带设计c程序 #include #include #include #define PAI 3.14 int TYPE_TO_NUM(char type); /*将输入的字符(不论大小写)转换为数字方便使用*/ float Select_Ki(float i); /*查表选择Ki的程序*/ float Select_KA(float H,int GZJ,int YDJ); /*查表选择KA的程序*/ float Select_KL(float Ld,int TYPE); /*查表选择KL的程序*/ float Select_M(int TYPE); /*查表选择m的程序*/ float Select_dd1(int TYPE); /*查表选择小轮基准直径dd1的程序*/ float Select_dd2(int dd1,float i); /*查表选择大轮直径dd2的程序*/ float Compute_P0(float V,int TYPE,float dd1); /*计算P0的程序*/ float Compute_DIFP0(int TYPE,float Ki,int n1); /*计算DIFP0的程序*/ float Compute_VMAX(int TYPE); /*计算VMAX的程序*/ float Compute_KALF(float ALF1); /*计算KALF的程序*/ float Compute_B(int TYPE,int z); /*计算带宽B的程序*/ float* Compute_LAK(float dd1,float dd2,int TYPE); /*计算Ld,a,KL的程序*/ main() { float P,H,i,n1,KA,Ki,dd1,dd2,V,P0,DIFP0,Pd,VMAX,*LAK,m,Ld,KALF,a,KL,z,F0,ALF1, Q,B; int YDJ,GZJ,TYPE,ANS; char type,ans; printf(" V带传动设计程序\n"); printf(" 程序设计人:×××\n 班号:123456678\n 学号:1234567896\n"); START: printf("请输入原始参数:\n"); printf("传递功率P(KW):"); scanf("%f",&P); printf("小带轮转速n1(r/min):"); scanf("%f",&n1); printf("传动比i:"); scanf("%f",&i); printf("每天工作时间H(h):"); scanf("%f",&H); printf("原动机类型(1或2):"); scanf("%d",&YDJ); printf("工作机载荷类型:\n1,载荷平稳\n2,载荷变动较小\n3,载荷变动较大\n4,载荷变动很大\n"); scanf("%d",&GZJ);

现代电子系统设计与实践 复习资料

一、选择题 1、蓝色发光二极管正常工作时,其二端电压大约等于() A、1V B、2V C、3V D、4V 2、二极管由于省电,长寿,鲜艳而常被用来作指示,以下哪个工作电流是合适的?() A、0.5mA B、5mA C、50mA D、500mA 3、三极管在放大状态工作在什么区?() A、截止区 B、放大区 C、非线性区 D、饱和区 4、整流电源中的滤波电容的取值与负载有关,R*C取值?() A、>(2~5)T/2 B、>(2~5)T/2 C、C=1000uF D、随意 5、单晶体管由于其震荡的特有特性常可用于() A、放大特性 B、负阻特性 C、同步控制 D、震荡特性 6、我们经常可以看到,在电子产品中,有黑色的铝材,都是为了(C) A、美观 B、增加重量 C、便于散热 D、便于器件固定 7、运放工作正常的时候,其同相端和反相端的电压是() A、6V B、1/2Vcc C、1/3Vcc D、1/4Vcc 8、差分电路中的射极电阻可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 9、反相器作放大器时,其上的反相电阻可以取() A、100欧 B、1千欧 C、100千欧 D、1兆欧 10、共发射极放大电路中,Uce取多少才合适() A、6V B、1/2 Vcc C、1/3Vcc D、1/4Vcc 11、为了改善组合逻辑电路由于竞争而出现冒险而影响后续电路的正常工作,下面哪项措施是不妥的() A、增加选通门 B、换滤波器 C、选高速器件 D、消除卡诺图中的相切相

12、用CMOS非门制作的晶体振荡器中,没有信号输出,最易疏忽的是() A、忘了换电容 B、震荡电容用了电解电容 C、忘了接反馈电阻 D、忘了接电容 13、设计多输出组合逻辑,既方便又经济的是采用() A、门电路 B、译码器 C、数据选择器 D、CPLD 14、普通的单电压比较器,左转换点,可能出现来回振荡现象,解决的办法是() A、提高比较电压 B、加负反馈 C、加正反馈 D、降低比较电压 15稳压二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 16、高频放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 17、检波二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 18、做实验时常常不小心把电源短路了,但也没发现电源坏了,那是因为() A、电源质量不好 B、有过压保护 C、有输出过载保护 D、运气好 19、OTL放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 20、检波电路的后缀如果输入阻抗不够大,可能会出现() A、惰性失真 B、滤波效果变差 C、限幅失真 D、负锋切割 21、在正交鉴频电路中,为了便于制作正交线圈,和降低成本,实际的正交线圈是一个() A、纯电感 B、晶体 C、并有合适的电容 D、并了个电阻 22、差分电路中的恒流源可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 23、对于MCS-51系列单片机,内部RAM中堆栈指针SP的指针指向()

2013年机械设计大作业轴设计

大作业设计说明书 课程名称: 机 械 设 计 设计题目: 设计搅拌机用单级斜齿圆柱 齿轮减速器中的低速轴 院 系: 理 学 院 专业班级: 机械电子工程0211411班 设 计 者: 学 号: 设计时间: 2013年12月20日 湖 北 民 族 学 院 HUBEI MINZU UNIVERSITY

目录(宋体,三号,加粗,居中) 1、设计任务书 (1) 2、…………………………………………………………… 3、轴结构设计………………………………………………… 3.1轴向固定方式……………………………………………………… 3.2选择滚动轴承类型……………………………………………………… 3.3键连接设计………………………………………………… 3.4阶梯轴各部分直径确定…………………………………………………… 3.5阶梯轴各部段长度及跨距的确定……………………………………… 4、轴的受力分析…………………………………………………………… 4.1画轴的受力简图……………………………………………………… 4.2计算支反力……………………………………………………… 4.3画弯矩图……………………………………………………… 4.4画扭矩图……………………………………………………… 5、校核轴的弯扭合成强度…………………………………………………… 6、轴的安全系数校核计算……………………………………………… 7、参考文献…………………………………………… 注:其余小四,宋体。自己按照所需标题编号,排整齐。

设计任务书 1.已知条件 某搅拌机用单级斜齿圆柱减速器简图如上所示。已知:电动机额定功率P=4kW,转速n1=750r/min,低速轴转速n2=130r/min,大齿轮节圆直径d2=300mm,宽度B2=90mm,轮齿螺旋角β=12°,法向压力角αn=20°。 2.设计任务 设计搅拌机用单级斜齿圆柱减速器中的高速级/低速轴(包括选择两端的轴承及外伸端的联轴器)。 要求:(1)完成轴的全部结构设计; (2)根据弯扭合成理论验算轴的强度; (3)精确校核轴的危险截面是否安全。 - 1 -

电子系统设计与实践课程设计——多点温度测量(汇编语言+C语言版)

《电子系统设计与实践》 课程设计报告 课程设计题目:多点温度测量系统设计专业班级:2012级电子信息科学与技术 学生姓名:罗滨志(120802010051) 张倩(120802010020) 冯礼哲(120802010001) 吴道林(120802010006) 朱栖安(120802010039)指导老师:刘万松老师 成绩: 2015 年6 月27日 目录

摘要 (4) 1 总体设计 (4) 1.1 功能要求 (5) 1.2 总体方案及工作原理 (5) 2 系统硬件设计 (6) 2.1 器件选择 (6) 2.1.1主要器件的型号 (6) 2.1.2 AT89C51 (7) 2.1.3智能温度传感器DS18B20 (9) 2.1.4晶振电路方案 (9) 2.1.5 LED液晶显示器 (10) 2.1.6复位电路方案 (10) 2.2 硬件原理图 (11) 3 系统软件设计 (11) 3.1基本原理 (11)

3.1.1主程序 (11) 3.1.2读ROM地址程序 (12) 3.1.3显示ROM地址程序 (13) 3.1.4读选中DS18B20温度的程序 (13) 3.1.5显示温度程序 (14) 3.2软件清单 (15) 3.2.1汇编语言程序 (15) 3.2.2 C语言程序 (24) 4实验步骤 (29) 4.1汇编语言程序调试 (29) 4.2 C语言程序调试 (30) 4.3实验仿真 (31) 5设计总结 (32) 6参考文献: (33)

摘要 温度是我们生活中非常重要的物理量。随着科学技术的不断进步与发展,温度测量在工业控制、电子测温计、医疗仪器,家用电器等各种控制系统中广泛应用。温度测量通常可以使用两种方式来实现:一种是用热敏电阻之类的器件,由于感温效应,热敏电阻的阻值能够随温度发生变化,当热敏电阻接入电路时,则流过它的电流或其两端的电压就会随温度发生相应的变化,再将随温度变化的电压或者电流采集过来,进行A/D转换后,发送到单片机进行数据处理,通过显示电路,就可以将被测温度显示出来。这种设计需要用到A/D转换电路,其测温电路比较麻烦。第二种方法是用温度传感器芯片,温度传感器芯片能把温度信号转换成数字信号,直接发送给单片机,转换后通过显示电路显示即可。这种方法电路比较简单,设计方便,现在使用非常广泛。 关键词:多点温度测量单片机温度传感器 1 总体设计 多路温度测量系统的总体结构如图1所示,根据要求,整个系统包含以下几个部分:51单片机、时钟电路、复位电路组成的51单片机小系统;多块测温模块;显示温度值的显示模块和按键模块。测温模块由温度传感器组成,温度传感器采用美国Dallas半导体公司推出的智能温度传感器DS18B20,温度测量范围为-55 -- +125,可编程为9到12位的A/D转换精度,测温分辨率可达0.0625C,完全能够满足系统要求。DS18B20采用单总线结构,只需要一根数据线DQ即可与单片机通信,多个DS18B20可同时连接在一根数据线上与单片机通信。显示器可采用LCD液晶显示器,显示信息量大、效果好、使用方便。

电子设计自动化(EDA)期末必考题目汇总

电子设计自动化期末必考题目汇总 1、EDA的英文拼写:electronic design automation 2、Eda的设计方法:两种一种为由底向上的设计方法即传统的设计方法,一种是自顶向下的设计方法即现代设计方法。 3、自顶向下分为三个阶段:系统设计、系统的综合优化、系统的实现 4、系统的设计流程主要包括:系统的功能分析、系统的结构设计、系统的描述、系统的功能仿真。 5、适配器:结构综合器,功能是将由综合器产生的网表文件配置与指定的目标器件中,使之产生最终的下载文件中。 6、FPGA芯片对应的是:sof文件,CPLD芯片对应的是pof文件 7、FPLD:现场可编程逻辑器件,FPGA:现场可编程门阵列,CPLD:复杂现场可编程逻辑阵列 8、可编程逻辑器件分为:FPGA和CPLD其中CPLD断电后不丢失。 9、基于乘积项的PLD分为三部分:宏单元、PIA、I/O控制板10、quartus软件的波形文件名为.vwf 11、VHDL语言的特点: (1)、VHDL是工业标准的文本格式语言。 (2)、具有强大的描述能力。 (3)、VNDL能同时支持方针和综合。 (4)、VHDL语言是并发执行的语句 (5)、VHDL支持结构化设计和top-down设计方法(6)、VHDL的描述与工艺无关 12、STD-logic型数据能够在数字器件中实现的只有四种即“-”、“0”、“1”、“z”但这并不表明其他5种值不存在,这9种值对于VHDL的行为仿真都有重要意义。 13、用户自定义的数据类型:枚举类型、整数类型、数组类型(所有的值必须一样)、记录类型(值得类型可以不一样)、事件类型、实数类型。 14、数据类型转换分为两种:类型转换函数方式、直接类型转15、换方式常量说明语句所允许的设计单元有:实体,结构体、程序包、块、进程、子程序 16信号与变量的区别: (1)、赋值语句的不同,信号赋值用“《=”而变量为“:=” (2)、通常变量的值可以给信号赋值但信号的值却不能给变量赋值

简单的机械设计作品【机械设计大作业】

简单的机械设计作品【机械设计大作业】 《机械设计》齿轮设计程序 #include #include floatmin(floatx,floaty); floatmax(floatx,floaty); voidmain() {intB1,B2,b,z1,z2; floatP1,u,T1,Kt,FAId,CHlim1,CHlim2,S,n1,j,l,ZE,N1,N2,KHN 1,KHN2, d1t,v,mt,h,p,KA,KV,KH1,KH2,KF1,KF2,YFa1,YFa2,YSa1,YSa2,C FE1,CFE2, KFN1,KFN2,CH1,CH2,CH,CF1,CF2,a,d1,d2,m,w,K,bt,z1t,z2t,q; printf("请输入小齿轮的齿数z1和齿数比u:\n"); scanf("%f%f",&z1t,&u); printf("下面进行齿面强度计算\n"); printf("请输入输入功率P1,小齿轮的转速n1,j,齿轮工作时间l:\n"); scanf("%f%f%f%f",&P1,&n1,&j,&l); T1=(9550000*P1)/n1; N1=60*n1*j*l; N2=N1/u;

printf("T1=%10.4eN1=%10.4eN2=%10.4e\n",T1,N1,N2); printf("请输入接触疲劳寿命系数KHN1,KHN2:\n"); scanf("%f%f",&KHN1,&KHN2); CH1=KHN1*CHlim1/S; CH2=KHN2*CHlim2/S; CH=min(CH1,CH2); printf("CH1=%10.4f\nCH2=%10.4f\nCH=%10.4f\n",CH1,CH2,CH); printf("\n"); printf("请输入载荷系数Kt,齿宽系数FAId,弹性影响系数 ZE:\n"); scanf("%f%f%f",&Kt,&FAId,&ZE); q=pow(ZE/CH,2)*Kt*T1*(u+1)/(FAId*u); d1t=2.32*pow(q,1.0/3.0); v=3.1415926*d1t*n1/60000; bt=FAId*d1t; mt=d1t/z1t; h=2.25*mt; p=bt/h; printf("d1t=%10.4fv=%10.4fmt=%10.4fp=%10.4f\n",d1t,v,mt, p); printf("\n"); printf("根据v,P和精度等级查KV,KH1,KF1,KH2,KF2,KA:\n"); scanf("%f%f%f%f%f%f",&KV,&KH1,&KF1,&KH2,&KF2,&KA);

数字系统设计大作业

2014 ~ 2015学年第1 学期 《数字系统设计》 大作业 题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程 班级: 姓名: 指导教师: 电气工程学院 2015 年12月

摘要 人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。 关键词:EDA VHDL语言 4×4阵列键盘扫描

目录 《数字系统设计》 (1) 数字系统设计.............................................................................. 错误!未定义书签。摘要 (2) 关键词:EDA VHDL语言 4×4阵列键盘扫描 (2) 1、实验目的 (4) 2、实验要求 (4) 3、实验原理 (4) 4、总体框图 (5) 4.1.1方案一 (5) 4.1.2方案二 (5) 4.2设计思路 (6) 5、功能模块介绍 (8) 5.1键盘消抖模块 (8) 5.2键盘模块 (8) 5.3VHDL部分程序 (8) 6、实验结果 (10) 6.1综合电路图 (10) 6.2时序仿真 (11)

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

机电一体化系统设计大作业

6011机电一体化系统设计基础大作业 责任教师高秋红 学校:北京一轻高级技术学校学号:111100140 姓名: 一、基础知识题(每题10分,共40分) 1.机电一体化系统的定义?机电一体化产品的分类? 答:机电一体化系统是由机械技术、传感器技术、接口技术、信号变换技术等多种技术进行有机地结合,并综合应用到实际中去的综合技术。 按发展水平分:功能附加型初级系统,功能代替型中级系统,机电融合型高级系统 按应用分:民用机电一体化产品,办公机电一体化产品和产业机电一体化产品 2. 机电一体化系统的基本组成要素?试分析试说明图中的各个部分分别属于机电一体化系统的哪一基本结构要素。 答:按照机电一体化系统的基本结构要素,图示数控机床的各个部分归类如下:(1)控制及信息处理单元:键盘、计算机、显示 (2)测试传感部分:光电编码器、信号处理 (3)能源:电源 (4)驱动部分:功放、电机 (5)执行机构:联轴器、齿轮减速器、丝杠螺母机构、工作台

3. 为什么采用机电一体化技术可以提高系统的精度? 机电一体化技术使机械传动部分减少,因而使机械磨损,配合间隙及受力变形等所引起的误差大大减少,同时由于采用电子技术实现自动检测,控制,补偿和校正因各种干扰因素造成的误差,从而提高精度。 4.简述机电一体化产品设计的工程路线(主要步骤) 机电一体化产品设计的工程路线(主要步骤):拟定产品开发目标和技术规范;收集资料,市场分析,可行性分析和技术经济性分析;总体方案设计;总体方案的评审和评价;详细设计;详细设计方案的评审和评价;试制样机;样机实验,测试;技术评价与审定;小批量生产;试销;正常生产;销售。 二、综合分析设计题(60分) 1.已知电机驱动的三自由度位置控制系统,单个自由度的驱动系统如图所示。要求: (1)说明单自由度驱动系统的两种测量方案;(20分) 要求给出传感器的类型及具体安装位置。 (2)确定整个系统的控制方案、画出控制系统原理图。(40分) 要求写出两种控制方案,方案一使用工业PC机完成系统的控制和方案二使用单片机完成系统的控制。 解:依题意有两种测量方案 1)高速端测量方案: 传感器安装在电机的尾部,通过测量电机的转角实现工作台位移的间接测量。可选用光电编码器式传感器或者磁电式编码器。

哈工大机械设计大作业之千斤顶说明书

工业大学 机械设计作业设计计算说明书 题目螺旋起重器(千斤顶) 系别机电工程学院 班号 0908103 孟子航 学号 1090810314 日期 2011年9月13日

工业大学 机械设计作业任务书 题目 螺旋起重器(千斤顶) 设计原始数据: 表3.1 螺旋起重器的示意图及已知数据 题号 起重器/Q F kN 最大起重高度/H mm 3.1.1 30 180 3.1.2 40 200 3.1.3 50 150 设计要求: (1) 绘制装配图一,画出起重器的全比结构,按照比例装配图要求标注尺寸、序号及填 写明细栏、标题栏、编写技术要求。 (2) 撰写设计说明书一份,主要包括起重器各部分尺寸的计算,对螺杆和螺母螺纹牙强 度、螺纹副自锁性、螺杆的稳定性的校核等。

目录 一、设计题目---------------------------------------------------------------2 二、螺母、螺杆选材---------------------------------------------------------2 三、螺杆、螺母设计计算 3.1 耐磨性计算 ------------------------------------------------------------------------------------------------2 3.2 螺杆强度校核 ---------------------------------------------------------------------------------------------3

电子系统设计与实践论文范例

东北石油大学课程设计 2009年7 月10 日

东北石油大学课程设计任务书 课程电子系统设计与实践计 题目数字电压表设计 专业计算机科学与技术姓名学号 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1、A/D转换接口电路的设计,负责对ADC0809的控制。 2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD 码。 3、输出七段显示电路的设计,负责将BCD码用7段显示器显示出来。 三、扩展要求 1. 当测量结束后,蜂鸣器鸣响10声。 按照规范写出论文,要求字数在4000字以上,并进行答辩。论文内容包括概述(学习、调研、分析、设计的内容摘要)、EDA技术的现状和发展趋势、对EL教学实验箱和QuartusⅡ软件的掌握程度、数字钟的设计过程(包括原理图或程序设计、编译、仿真分析、硬件测试的全过程),论文中含有原理图、程序、仿真波形图及其分析报告。 完成期限2周 指导教师 专业负责人 年月日

东北石油大学课程设计成绩评价表 指导教师:年月日

摘要 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 本文设计主要利用VHDL语言在EDA平台上设计一个数字电压表,它的显示位数为4位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成了一个整体。。总的程序由几个各具不同功能的部分组成,其中包括循环控制P1、复位和状态转换P2、编码转换data、BCD码加法do、输出七段显示dout。并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。 关键词: EDA(电子设计自动化);VHDL(硬件描述语言);ADC0809;数字电压表。

相关文档
最新文档