西工大数电实验报告——触发器及其应用

实验三触发器及其应用

班级:03051001班

学号:

姓名:

同组成员:

一、实验目的

1.熟悉基本D触发器的功能测试。

2.了解D触发器的触发特点。

3.熟悉触发器的实际应用。

二、试验设备

数字电路实验箱、数字双踪示波器、函数发生器、74LS00、74LS74

三、实验原理

触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器呦集成触发器和门电路(主要是“与非门”)组成的触发器。按其功能可分为有RS触发器、JK触发器、D触发器、T和T’功能等触发器。触发方式有电平触发和边沿触发两种。

D触发器在时钟脉冲CP的前沿(正跳变0→1)发生翻转,触发器的次

态1+n

Q取决于CP脉冲上升沿到来之前D端的状态,及1+n Q=D。因此,它具

有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。

R和D S分

D 别是决定触发器初始状态n

Q的直接置0、置1端。当不需要强迫置0、置1时,

R和D S端都应置高电平(如接+5V电源)。74LS74(CC4013)等均为上D

升沿触发的边沿触发器。图(1)为74LS74的引脚图,图(2)为其逻辑图,表(1)为其真值表。D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等。74LS000的引脚排列如图(3)。

图(1)

图(2)

表(1)

图(3)

四、实验内容

1.用双D触发器构成二分频器

2.用双D触发器构成四分频器

3.生成如图所示时序脉冲

五、实验结果

1和2设计

连接示意图见图(4)。在CP1端加入1KHz,峰峰值为5.00V,平均值为

2.50V的连续方波,并用示波器观察CP,1Q,2Q各点的波形,见图(5)。

图(4)

3设计

A ) 逻辑分配

B ) 特征方程

1

1

+n Q =1

D =n

Q 0

1

+n Q =0D =n

Q 1

F=n Q

1

n

Q 0

CP ↑

C)电路图

图(5)

六、实验心得

本次实验较难理解,开始有点茫然,不知道要从哪儿开始做,经过老师讲解才明白了实验目的及原理。通过这次实验的经验,我学到了很多,不只是关于数电实验的原理,更多的是学习上的方法,不管是哪门课都要认认真真去学,难度不是问题,再难的东西,把它分成一个个小的部分,一点一点去学习,去深入研究,没有解决不了的问题。此外,同学间的互帮互助及队友间的互相配合必不可少,从交流中,我们会很容易发现自己的问题,及时纠正。通过这样的方法,我们将会进步得更快。

通过此次试验我们更加深刻的了解了74LS00和74LS74器件,更深一步地掌握了电路的连接和电子元件电路设计的方法和技巧。

触发器功能测试实验报告

触发器功能测试 031210419 胡鲲 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持 JK触发器(上升沿触发) R2T1(s_n,r_n) V16(J) V17(K) U16(q) E19(q_n) 11->10->01->11 0 1 暗亮置0 11->10->01->11 1 0 亮暗置1 11->10->01->11 0 0 亮暗保持11->10->01->11 1 1 暗亮翻转 五.实验心得及体会 用V erilog做数电实验看似比普通的用面包板做实验来得轻松,实际上能用软件简单做实验的前提是对该软件的编译语言十分熟练,如此才能轻易地实现芯片的数字化,将有关芯片的逻辑功能用编译语言实现,这其中的学习过程也是不简单的。 因此,每次实验前的预习也是相当重要的,正如此次实验,在认真研究过老师课前下发的指导后,我在课上很快就做出了实验结果,这就充分说明了实验课 前预习的重要性。

实验四 触发器及其应用

实验四触发器及其应用 一、实验目的 1. JK触发器及D触发器的逻辑功能及其应用; 2.掌握触发器间相互转换的方法。 二、实验器材与设备 1. +5V直流电源 2. LED发光二极管显示管 3. 逻辑开关 4. 芯片74LS112、74LS74各一片 5. 导线若干 三、实验原理 触发器是基本的逻辑单元,在一定的外加信号作用下可以由一种稳定状态转变为另一稳定态;无外加信号作用时,将维持原状态不变。因为触发器是一种具有记忆功能的二进制存贮单元,所以是构成各种时序电路的基本逻辑单元。 图3 JK触发器的逻辑功能符号图4 D触发器的逻辑功能符号 1、JK 触发器的逻辑功能符号如图3所示,其状态方程为: 双JK触发器74LS112的管脚功能见图1。其中:J、K 是数据输入端,Q 为输出端,CP 为时钟脉冲端输入端,下降沿触发有效;RD和SD分别是异步置“0”端和异步置“1”端。 2、D 触发器的逻辑功能符号如图4所示,其状态方程为: Q n+1=D 双D触发器74LS74的管脚功能见图2。其中:D 为数据输入端,Q为输出端,CP 为时钟脉冲输入端,上升沿触发有效;RD和SD分别是异步置“0”端和异步置“1”端。

四、实验内容与步骤 1、74LS112的逻辑功能测试 (1)测试RD、SD的直接复位、置位的逻辑功能,计入表1; (2)用RD,SD来将触发器预置到特定的起始状态( “0” 或“1” )。预置完成后RD,SD应保持在高电平(即“1”电平),即RD=SD=1时,测试JK触发器的逻辑功能,计入表2;(3)将JK触发器接成T触发器(J=K=T),即将触发器J、K用导线接在一起作为输入端T,测试逻辑功能,计入表3。 2、74LS74的逻辑功能测试 (1)测试RD、SD的直接复位、置位的逻辑功能,计入表4; (2)用RD,SD来将触发器预置到特定的起始状态( “0” 或“1” )。预置完成后RD,SD应保持在高电平(即“1”电平),即RD=SD=1时,测试D触发器的逻辑功能,计入表5。 五、实验总结与体会 1、总结各触发器的逻辑功能; 2、体会

实验五 触发器及其应用

实验五触发器及其应用 一、实验目的 (一)熟悉常用的TTL及CMOS触发器的基本结构及逻辑 (二)掌握触发器的正确使用方法 二、实验设备 (一)电子技术实验箱 (二)组件74 LS00 74LS20 74LS74 74LS75 74LS112 三、实验原理 触发器是具有记忆功能、能存储数字信号的最常用的一种基本单元电路。是组成时序逻辑电路的主要元件。在数字系统和计算机中有着广泛的应用。触发器按逻辑功能可分为RS触发器、D触发器、JK触发器和T触发器。按电路结构可分为钟控式、维持阻塞式、主从式和边沿触发式。 RS触发器具有置0、置1及保持的功能,但存在RS=0的约束条件。JK触发器是最主要的触发器之一,它的特性方程为Q n+1=J n Q+K Q n,它具有置0、置1和翻转的功能。D触发器是一种边沿触发器,它广泛应用与数据锁存、控制电路中,是组成移位、计数和分频电路的基本逻辑单元,它的特性方程是Q n+1=D。 钟控式触发器属于电平触发方式,因此存在空翻现象,不能用计数器或移位寄存器,它用于CP=1期间输入信号不变化的那些场合。维持阻塞型和边沿触发器能避免空翻,实现“一次操作”的触发器,是目前广泛使用的触发器类型。主从触发器属于下降沿触发的触发器,在使用主从型触发器时需注意得失,在CP=1期间,如果输入信号发生了变化(如干扰引起的),主触发器也发生类似空翻现象,从而使触发发生误动作。因此规定输入信号只允许在CP=0期间变化,而不允许在CP=1 期间变化,这给使用带来一些限制。 四、实验内容与步骤 (一)基本RS触发器的构成和逻辑功能的测试 (1)用74LS00中的两个与非门,按图5-1所示的电路接成基本RS触发器 图5-1 (2) 按表要求5-1,改变R和S,观察和记录Q和Q的状态

电子电工实验报告8集成触发器及应用

电工电子实验报告 集成触发器及应用 一、实验目的 1.掌握集成触发器的逻辑功能。 2.熟悉用触发器构成计数器的方法。 3.掌握集成触发器的基本应用。 二、主要仪器设备及软件 硬件:直流稳压电源,电工电子综合实验箱,函数信号发生器,示波器,笔记本电 脑 软件:NI Multisim 14 三、实验原理(或设计过程) 1.集成触发器的种类和特点 触发器是组成时序逻辑电路的基本单元,集成触发器主要有3大类,锁存触发器、D触发器和JK触发器。 (1)D锁定触发器 目前常使用的D锁存触发器有四锁定触发器74LS75,功能表如下 锁定触发器具有以下三个特点: ①锁定触发器不会出现不定状态,输入信号只需要一个,使用方便。 ②锁定触发器在CP=“0”时,状态不因输入信号发生变化。 ③锁定触发器是电平触发的触发器,在CP=“1”,D端状态不允许变化。 (2)维持堵塞D触发器 维持阻塞D触发器克服了空翻现象,因而维持阻塞D触发器可以用来作计数器和位移寄存器。

(3)JK触发器 ①主从JK触发器 目前主要的主从JK触发器74LS72单JK触发器和74LS112双JK触发器. ②边沿JK触发器 边沿触发器不仅可以克服空翻现象,而且仅仅在时钟CP的上升沿或下降沿才对输入信号起响应。 2.集成触发器的应用 触发器在构成包含时间关系的数字电路中是必不可少的,它广泛用来构成计器、寄存器、移位寄存器,还可用来构成单稳、多谐等电路。 (1)二进制计数器 触发器可以构成各种计数器。每一个触发器都接成计数状态。对D触发器,将其D端与Q非输出端相接就构成计数状态,因D触发器是上升沿触发,所以用它们构成二进制计数器时,应将每位Q非输出端与高一位CP端相连。 如图使用TTL集成D触发器和JK触发器构成的三位二进制计数器 (2)并行累加器 累加器适用于多个数相加求和的一种电路。 (3)堆成脉冲至对称脉冲的奇数分频 四、实验电路图 五、实验内容和实验结果

数电实验五触发器实验报告

数电实验五触发器实验报告 一、实验目的 二、实验原理 三、实验器材 四、实验步骤 五、实验结果分析 六、实验总结 一、实验目的 本次数电实验旨在通过触发器实验,加深学生对于触发器的理解和应用,掌握触发器的工作原理及其在电路中的应用。 二、实验原理 1. 触发器概述 触发器是一种存储器件,可以将输入信号转换成稳定的输出信号,并且能够记住先前输入过的状态。触发器有两个稳态(高电平或低电平),并且只有在时钟信号到来时才会改变状态。 2. SR锁存器

SR锁存器是最简单的触发器之一,由两个交叉耦合反相输出(NOR 或NAND)门构成。当S=1,R=0时,Q=1;当S=0,R=1时, Q=0;当S=R=0时,保持上一个状态不变。但是SR锁存器存在一个致命缺陷——SET和RESET不能同时为1。 3. D锁存器 D锁存器是由一个数据输入口和一个时钟输入口组成。当D为1且时 钟信号到来时,Q会被置为1;当D为0且时钟信号到来时,Q会被 置为0。D锁存器可以看做是SR锁存器的一种特殊情况,即S=D, R=not D。 4. JK锁存器 JK锁存器是由J、K、时钟和输出端Q组成的。当J=1,K=0时, Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q状态取反;当 J=K=0时,保持上一个状态不变。JK锁存器可以看做是SR锁存器的 一种改进型。 5. T锁存器 T锁存器是由T、时钟和输出端Q组成的。当T为1且时钟信号到来时,Q状态取反;当T为0且时钟信号到来时,保持上一个状态不变。T锁存器可以看做是JK锁存器的一种特殊情况,即J=T,K=not T。 三、实验器材

本次实验所需材料如下: - 数字电路实验箱 - 74LS73触发器芯片 - 电源线、万用表等 四、实验步骤 1. 按照电路图连接74LS73芯片。 2. 打开电源并接通电路。 3. 分别将CLK输入高低电平,并记录输出结果。 4. 将D输入高低电平,并记录输出结果。 5. 将J、K输入高低电平,并记录输出结果。 6. 将T输入高低电平,并记录输出结果。 五、实验结果分析 1. CLK输入高低电平时的输出结果 当CLK输入为高电平时,74LS73芯片的Q0和Q1都为1;当CLK 输入为低电平时,Q0和Q1都为0。这是因为74LS73芯片是上升沿触发器,只有在CLK上升沿到来时才会改变状态。 2. D输入高低电平时的输出结果

数据库触发器实验报告

数据库触发器实验报告 一、引言 随着信息技术的发展,数据处理已经成为了各种科学技术领域中至关 重要的一环。在数据处理系统中,数据库是必不可少的组成部分之一。而数据库中的触发器就是实现数据库自动化的重要手段之一。本文对 数据库触发器的实验进行了详细的分析和总结,以期为大家提供一些 有关数据库的实际应用经验和理论指导。 二、实验开展过程 本次实验选择的是MySQL 5.0版本数据库,我们通过多个实验案例来 了解和掌握触发器的实际应用。 1.定义触发器 我们首先通过定义触发器的方法来了解触发器的基本概念和语法结构。通过设置一些触发器的触发条件和执行程序,我们可以实现对数据库 的自动化维护和更新。 2. 插入触发器 然后我们通过插入触发器的实验案例来掌握数据库自动插入数据的具 体实现方法。这样,无须手动输入每一条数据,数据库就可以自动将

新的数据插入到数据库中去。这样做的好处在于可以减少人为错误的发生,并且提高了数据处理的效率。 3. 修改触发器 接下来,我们又进行了修改触发器的实验案例。通过设置一些修改触发器的触发条件和执行程序,可以在数据库中修改一些指定数据,或者将一些数据更新到数据库中。 4. 删除触发器 最后,我们还进行了删除触发器的实验案例。通过设置一些删除触发器的触发条件和执行程序,可以将数据库中的指定数据逐一删除掉,从而实现数据库的自动化维护和更新。 三、实验结果分析 通过本次实验,我们加深了对数据库触发器的理解和掌握。同时,我们也发现在实际应用中,触发器设计的精细度和实现的合理度对于数据库的使用效果有着十分重要的影响。因此,我们应该在实际操作过程中,注重考虑实际应用场景和条件,来设计和优化触发器的应用方案。 四、总结

触发器使用实验报告

触发器使用实验报告 本次实验主要是对触发器的使用进行了实验研究。具体来说,是通过设计电路,编写代码等方式进行触发器的实验,然后通过编写实验报告来总结和介绍这些实验的过程和结果。 1. 实验目的: 1. 了解触发器的概念和种类; 2. 掌握触发器的应用方式; 3. 理解基本的推挽输出电路设计; 4. 掌握使用触发器实现频率分频器的方法。 1. 电路设计:通过电路图设计产生触发器时序信号的电路。 2. 代码编写:通过编写代码实现上述电路的功能,利用单片机的相应端口输出控制信号。 3. 推挽输出电路设计:通过电路图设计推挽输出电路,实现驱动舵机等组件的控制。 4. 频率分频器设计:通过电路图设计基于触发器的4分频电路,将输入的高频信号四分频输出。 1. 确定实验所需元器件,并对相应器件进行编号标记。 2. 设计电路图,包括:触发器时序电路图,推挽输出电路图,以及频率分频器电路图。 3. 焊接电路图中的元器件,注意焊接过程中连线的正确性和牢固性。 4. 调试电路,检查电路的性能是否符合设计要求。 5. 对代码进行编写,实现控制电路的功能。 6. 测试控制效果,并调整电路和代码,确保控制正确可靠。 4. 实验结果和分析: 1. 电路设计和焊接均顺利完成,实现了触发器的时序信号产生,舵机的控制,4分频输出等功能。

2. 在使用触发器时,需要判断触发器的种类和输入信号的类型,以确保信号正确触发。 3. 在推挽输出电路设计中,需要根据所需控制的设备特点进行设计,包括电压,电 流大小等。 4. 频率分频器的设计中,需要注意分频比例的计算和实现,避免出现精度问题。 5. 通过此次实验,加深了对触发器的理解和应用,为今后的电路设计提供了有力的 支撑和参考。 本次实验通过设计电路,编写代码等方式进行了触发器的实验,加深了对触发器的应 用和原理的理解,为今后的电路设计提供了重要的帮助。同时,也发现了一些问题,如在 舵机控制中需要注意电流大小等问题,对今后的实验有所启示。总之,此次实验收获丰富,对今后的学习和工作有着重要的参考作用。

触发器——实验报告

触发器——实验报告 本次实验主要针对的是数据库的触发器,触发器可以在数据库中对指定的事件进行响应,可以在事件发生前或发生后对相关的SQL语句进行处理,从而实现对数据的限制、约束等相关操作。本次实验的目标是通过实例了解什么是触发器,如何创建触发器以及触发器的应用。 一、实验环境 本次实验使用MySQL数据库作为实验环境。 二、实验步骤 1. 创建数据库和表结构 首先,需要创建一个新的数据库,并新建一张表来进行触发器的测试。这里,我们创建一个名为“students”的数据库和“grades”表。表结构如下: CREATE TABLE grades( id int(11) NOT NULL AUTO_INCREMENT, PRIMARY KEY (id) ) ENGINE=InnoDB DEFAULT CHARSET=utf8 COLLATE=utf8_unicode_ci; 2. 创建触发器 接下来,我们要创建一个触发器来对数据进行限制。比如,我们想对成绩的输入进行限制,限制只能输入0-100之间的分数。 创建触发器的语法如下: CREATE TRIGGER trigger_name {BEFORE | AFTER} {INSERT | UPDATE | DELETE} ON table_name FOR EACH ROW BEGIN -- 触发器的处理 END;

以上语法中,trigger_name是触发器的名称,table_name是触发器所作用的表名,BEFORE或AFTER关键字表明触发器是在事件发生前还是发生后进行处理的,在本次实验中,我们使用BEFORE关键字,表示在事件发生前进行处理。 触发器可以应用在INSERT、UPDATE、DELETE事件上,我们用INSERT事件做例子,表 示在插入数据之前实现相应的数据限制。 触发器中的处理代码可以是任何合法的SQL语句,其中可以使用NEW和OLD关键字来 引用触发器作用的记录,NEW表示要插入或者修改的记录,OLD表示删除的记录。 根据上述语法,我们可以创建一个名为“grade_check”的触发器,代码如下: IF NEW.grade < 0 or NEW.grade > 100 THEN SIGNAL SQLSTATE '45000' SET MESSAGE_TEXT = 'The grade should be between 0 and 100'; 以上代码中,触发器的名称为“grade_check”,在进行INSERT事件之前进行处理。 如果插入的分数小于0或大于100,则通过SIGNAL语句抛出一个异常,提示用户输入无效数据。 触发器创建完成后,我们来测试它是否能够正常工作。 首先,我们尝试插入一条分数为70的记录: INSERT INTO grades (name, grade) VALUES ('小明', 70); 执行以上语句后,可以发现记录已经成功插入。 执行以上语句后,会收到“ERROR 1644 (45000): The grade should be between 0 and 100”的异常提示,插入操作失败。 三、实验结论

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下:

○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下: ○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现)

将欲实现功能列出真值表如下: 00010 01110 11100 10001通过观察上面的真值表,可以得出下面的表达式: 连接电路图如下:

四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下:○1二分频器: ○2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

数电实验报告触发器及其应用(共10篇)

数电实验报告触发器及其应用(共10篇) 1、实验目的:掌握触发器的原理和使用方法,学会利用触发器进行计数、存储等应用。 2、实验原理: 触发器是一种多稳态数字电路,具有存储、计数、分频、时序控制等功能。常见的触 发器有RS触发器、D触发器、T触发器、JK触发器等。 RS触发器是由两个交叉互连的反相器组成的,它具有两个输入端R(复位)和S(置位),一个输出端Q。当输入R=1,S=0时,Q=0;当输入R=0,S=1时,Q=1;当R=S=1时,无法确定Q的状态,称为禁态。 JK触发器是将RS触发器的两个输入端合并在一起而成,即J=S,K=R,当J=1,K=0时,Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q反转。JK触发器具有启动、停止、颠倒相位等功能。 D触发器是由单个输入端D、输出端Q和时钟脉冲输入端组成的,当时钟信号上升沿出现时,D触发器的状态发生改变,如果D=1,Q=1;如果D=0,Q=0。 T触发器只有一个输入端T和一个输出端Q,在每个时钟脉冲到来时,T触发器执行 T→Q操作,即若T=1,则Q取反;若T=0,则Q保持不变。 触发器可以组成计数器、分频器、存储器、状态机等各种数字电路,被广泛用于计算机、控制系统等领域。 3、实验器材: 数码万用表、示波器、逻辑分析仪、CD4013B触发器芯片、几个电阻、电容、开关、 信号发生器等。 4、实验内容: 4.1 RS触发器测试 利用CD4013B芯片来测试RS触发器的功能,在实验中将RS触发器的输入端分别接入CD4013B芯片的端子,用示波器观察输出端的波形变化,并记录下输入输出关系表格,来 验证RS触发器的工作原理。 具体实验步骤如下:

触发器及其应用实验报告

触发器及其应用实验报告 一、实验目的 通过本次实验,我们的目标是: 1.了解触发器的基本原理。 2.学习触发器的分类及其应用场景。 3.通过实验了解触发器的使用方法。 二、实验器材 1.示波器。 2.信号发生器。 3.逻辑门芯片。 4.电源。 5.电线、面包板等。 三、实验原理 触发器是由逻辑门电路组成的电子器件,具有存储和控制的功能,它能够接收一个或多个输入信号,通过逻辑门电路进行处理,并输出结果。因为具有存储和控制的功能,所以可以被广泛应用于数字电路中。 触发器分为锁存触发器和触发器两种。 锁存触发器存在一个叫做钟脉冲的输入信号,这个输入信号决定了锁存触发器是否工作。当输入一个高电平的钟脉冲时,锁存触发器将会把它的输入信号“锁定”,并输出相应的结果;当钟脉冲为低电平时,锁存触发器会维持自己的状态不变。 触发器一般也有两个输入信号,分别是时钟和数据。当时钟为高电平的时候,数据会被写入到触发器中,并且继续保存下来;当时钟为低电平的时候,触发器会维持自己的状态不变。 四、实验步骤 1、搭建RS锁存器电路图

将R、S两个输入端接到逻辑门芯片上,并将输出端接上示波器,调整示波器参数,实时观察输出波形。 在示波器上显示R、S各种输入波形,了解电路的工作原理和特性。 4、测试D触发器电路 五、实验结果 通过本次实验,我们成功地实现了RS锁存器和D触发器的搭建和测试。我们通过不同的输入信号波形测试了电路的各种工作特性,如RS锁存器的存储和控制特性以及D触发器的时序控制特性等。 六、实验分析 触发器是数字电路中的关键元件之一,它可以实现数字信号的存储和控制。本次实验通过搭建RS锁存器和D触发器电路,并通过逻辑门芯片实现,得出了两种触发器的不同工作原理和特性。同时,我们还通过不同的输入波形测试了它们的各种工作状态,进一步了解和掌握触发器的应用技巧和调试方法。这对于我们深入理解和掌握数字电路原理以及实际应用具有重要意义。 同时,我们还通过实际操作锻炼了自己的实验技能,深入理解了数字电路的原理和应用。这对我们今后的学习和工作都具有很大帮助。

触发器实验报告

触发器实验报告 触发器实验报告 引言 触发器是数字电路中常用的组合逻辑电路,用于储存和记忆数据,并实现时序逻辑功能。本实验通过实验板上的电路元件和电路模块,设计和配置不同类型的触发器电路,实现相应的功能,并加深对触发器的原理和应用的理解。 一、实验目的 1. 理解触发器的工作原理; 2. 掌握触发器的设计和配置方法; 3. 掌握触发器的应用技巧。 二、实验仪器和器件 1. 实验板:包括触发器模块、电源插座和数字电路板; 2. 电源线; 3. 按钮开关; 4. LED灯; 5. 连线。 三、实验内容与步骤 1. J-K触发器的设计和配置 (1)将J-K触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与J-K触发器连接,并根据需要配置J、K输入信号和时钟信号; (3)通过实验配置J-K触发器,并观察LED灯的亮灭情况。

2. D触发器的设计和配置 (1)将D触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与D触发器连接,并根据需要配 置D输入信号和时钟信号; (3)通过实验配置D触发器,并观察LED灯的亮灭情况。 3. T触发器的设计和配置 (1)将T触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与T触发器连接,并根据需要配置 T输入信号和时钟信号; (3)通过实验配置T触发器,并观察LED灯的亮灭情况。 四、实验结果与分析 本次实验中,我成功设计和配置了J-K触发器、D触发器和T 触发器电路,并通过实验得到了相应的结果。在配置J-K触发器时,当J=1、K=1并且时钟信号上升沿到来时,LED灯亮起;当J=0、K=1并且时钟信号上升沿到来时,LED灯熄灭。在配 置D触发器时,当D=1并且时钟信号上升沿到来时,LED灯 亮起;当D=0并且时钟信号上升沿到来时,LED灯熄灭。在 配置T触发器时,当T=1并且时钟信号上升沿到来时,LED 灯状态取反;当T=0并且时钟信号上升沿到来时,LED灯保 持原状态不变。 五、实验总结 通过本次实验,我进一步掌握了触发器的原理和应用方法。触发器作为数字电路中常用的组合逻辑电路,具有存储和时序功

存储过程及触发器实验报告

存储过程及触发器实验报告 实验目的: 1、了解存储过程及其应用; 2、了解触发器及其应用; 3、掌握使用存储过程及触发器完成数据操作的方法。 实验过程: 1、存储过程 存储过程是指一组为了完成特定功能的SQL语句集合。存储过程可以接收传入参数并返回处理结果。存储过程的好处是可以减少网络流量,提高性能,增加安全性。在本次实验中,我们将学习如何创建存储过程。 首先,在MySQL中打开MySQL Workbench,进入我们的实验数据库。然后我们就可以创建一个存储过程了。 创建存储过程的语法如下: CREATE PROCEDURE procedure_name () BEGIN -- SQL statements END; 在这个语法中,procedure_name是我们想要创建的存储过程的名称。在BEGIN和END 之间,我们可以输入一组SQL语句,这些语句将组成存储过程的主体内容。 我们可以以一个创建一个简单的存储过程作为例子,这个存储过程的作用是输出一条信息。我们将这个存储过程命名为print_message。 在上面的语句中,我们定义了一个存储过程,它被命名为print_message。它只包含一条SELECT语句,这条语句将输出Hello, World!这个字符串。 创建完存储过程之后,我们可以通过CALL语句来调用它: CALL print_message(); 执行这个语句后,我们将会看到Hello, World!这个字符串输出到屏幕上。

2、触发器 触发器是一种被动的对象,它是由数据库管理系统在数据表上自动执行的一些操作。当数据表中发生某些指定的操作时,触发器就会被调用执行。触发器通常用于数据表中的数据变更操作,比如插入、更新和删除。在本次实验中,我们将学习如何创建和使用触发器。 在MySQL中创建触发器的语法如下: CREATE TRIGGER trigger_name {BEFORE | AFTER} trigger_event ON table_name FOR EACH ROW trigger_body; 在这个语法中,trigger_name是我们想要创建的触发器的名称。trigger_event是触发器所要监听的事件,可以是INSERT、UPDATE或DELETE。table_name是被监听的数据表的名称。trigger_body是触发器所要执行的语句。 在上面的语句中,我们定义了一个触发器,它被命名为insert_timestamp。它在test 表中监听了INSERT事件,当有新的记录被插入到test表中时,触发器将自动执行一条SQL 语句SET NEW.created_at = NOW(),将当前时间戳赋值给新增记录的created_at字段。 执行完这个语句后,我们就成功创建了一个名为insert_timestamp的触发器。每当往test表中插入新记录时,该触发器都会自动执行,更新记录的时间戳字段。 实验总结: 通过本次实验,我了解了存储过程及触发器的定义、语法和应用。存储过程和触发器是数据库管理系统中非常重要的工具,它们可以大大提高数据处理的效率和数据安全性。在实际应用中,我们可以使用存储过程和触发器来简化常用数据库操作,减少网络流量和数据库瓶颈,增加数据一致性和完整性。

触发器及其应用实验报告

学生实验报告 院别电子信息学院课程名称电子技术实验 班级无线技术12 实验名称触发器及其应用 姓名刘灼鹏实验时间2014年5月22日 学号2012010302032 指导教师文毅 报告内容 一、实验目的和任务 1.掌握基本RS、JK、T和D触发器的逻辑功能. 2.掌握集成触发器的功能和使用方法. 3.熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态“1"和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器.基本RS触发器具有置“0"、置“1"和保持三种功能。通常称S为置“1"端,因为S=0时触发器被置“1”;R为置“0"端,因为R=0时触发器被置“0”.当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。 基本RS触发器也可以用两个“或非门”组成,此时为高电平有效。 图14-1 二与非门组成的基本RS触发器 (a)逻辑图(b) 逻辑符号

基本RS触发器的逻辑符号见图14-1(b),二输入端的边框外侧都画有小圆圈,这是因为置1与置0都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: n n n Q K Q J Q+ = +1 图14—2 JK触发器的引脚逻辑图 其中,J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成“与”的关系。Q和Q为两个互补输入端。通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1"状态. JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S 端为高电平有效。 3、T触发器 在JK触发器的状态方程中,令J=K=T则变换为: 1 n n n Q TQ TQ +=+ 这就是T触发器的特性方程。由上式有: 当T=1时, 1 n n Q Q += 当T=0时, 1 n n Q Q += 即当T=1时,为翻转状态;当T=0时,为保持状态。

触发器实验报告总结

篇一:触发器及其应用实验报告 学生实验报告 篇二:数据库实验3 触发器报告 数据库专题训练------触发器 实验报告 系别:计算机科学与技术班级:计11-3班姓名:黄娟娟学号:11101020324 成绩: 评语: 指导教师签字:日期: 实验二触发器 一、实验环境及要求 触发器是一种特殊的存储过程,不能被用户直接调用。可以包含复杂的 sql语句。在特定事件发生时自动触发执行,通常用于实现强制业务规则和数据完整性。dml触发器分为两种类型:after 触发器和 instead of触发器。通过本次实验掌握触发器的创建方法以及使用方法。 二、实验步骤及结果 1) 创建一个名为tri_insert_s的触发器,测试改触发器的执行情况,并给出实验结果。当插入的新记录中sage 的值不是18至25之间的数值时,就激活该触发器,撤销该插入操作,并给出错误提示。 use sxcj go create trigger tri_insert_s on s after insert as if exists (select * from inserted where sage>=18 and sage<=25) print添加成功! else begin print无法添加! rollback

transaction end go insert into s values(s8,黄丽,女,26,计算机) insert into s values(s8,黄丽,女,20,计算机) select * from s go 显示如下: insert into s values(s8,黄丽,女,26,计算机) insert into s values(s8,黄丽,女,20,计算机) 2)创建一个名为tri_update_sc的触发器,要求:(1)首先判断数据库中是否已经存在名为tri_update_sc的触发器,如果存在,首先删除,再创建。(2)当试图修改sc表中的学生成绩时,给出不能随便修改成绩的信息提示。 use sxcj go if exists(select name from sysobjects where name=tri_update_sc and type=tr) begin drop trigger tri_update_sc end else print不存在该触发器,可新建。 go 显示如下: create trigger tri_update_sc on sc after update as if update(score) begin print不能随意修改成绩!

实验报告——触发器及其应用

实验四项目名称:触发器及其应用 一、实验目的 1、了解基本RS、JK和D触发器的逻辑功能 2、了解时钟对触发器的触发作用 3、能用触发器设计基本的时序逻辑电路 二、实验设备 1、数字电路实验箱 2、74LS112 74LS00 74LS74 三、实验内容及步骤 1、测试基本RS触发器的逻辑功能 本实验是选取74LS00芯片(引脚如图4-7所示)中两个与非门交叉耦合而成,如图4-8所示。根据图4-8连线,d S、d R端分别接在实验箱上的逻辑电平选择开关上,输出Q和Q分别接在实验箱上的LED电平指示上。按表4-5选择输入状态,测试并记录结果。 图4-7 74LS00引脚图图4-8 基本RS触发器 表4-5 d S d R Q Q 01 11 10 11

2、JK触发器 (1) 测试置位端S D和复位端R D 的功能 按表4-6,将74LS112芯片(引脚如图4-9所示)的R D、S D、J、K端分别接逻辑电平选择开关,CP 接实验箱中的单脉冲下降沿触发输出端,Q、Q端分别接至实验箱的LED电平指示上。根据表4-6,确定R D,S D、J、K端状态,按下单脉冲触发按钮,测试并记录实验结果(表中“×”表示无关项,即可置于任意状态)。 图4-9 74LS112引脚图 表4-6 (2) 测试JK触发器的逻辑功能 按表4-7,测试JK触发器的逻辑功能。将CP接单脉冲下降沿触发输出端,J、K、R D、S D端分别接逻辑电平选择开关,Q端接在实验箱的LED电平指示上。利用置位端S D和复位端R D的功能,

根据表4-6预置现态Q n ,然后R D 、S D 端同时置“1”,J 、K 状态按表4-7设定。按下单脉冲触发按钮,测试并记录结果。 表4-7 3、D 触发器 (1) 测试置位端S D 、复位端R D 的功能。 将74LS74芯片(引脚如图4-10所示)的D 、S D 、R D 端分别接逻辑电平选择开关,CP 接实验箱中的单脉冲上升沿触发端输出端,Q 、Q 分别接在实验箱的LED 电平指示上。按表4-8,确定D 、S D 、R D 端状态,按下单脉冲触发按钮,测试 Q 端并记录结果(表中“×”表示无关项,即可置于任意状态)。 图4-10 74LS74引脚图

相关主题
相关文档
最新文档