全数字锁相环毕业设计终稿

全数字锁相环毕业设计终稿
全数字锁相环毕业设计终稿

安徽大学

本科毕业论文(设计、创作)

题目:全数字锁相环的研究与设计

学生姓名:郑义强学号:P3*******

院(系):电子信息工程学院专业:微电子

入学时间:2011年9月

导师姓名:吴秀龙职称/学位:教授/博士

导师所在单位:安徽大学电子信息工程学院

完成时间:2015 年5月

全数字锁相环的研究与设计

摘要

锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国内外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。

关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked

Loop

Abstract

The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle

Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

目录

1.绪论 (1)

1.1 课题研究的目的意义 (1)

1.2 锁相环的发展历程 (1)

1.3 研究和发展 (2)

1.4 设计工具及设计语言 (3)

2. 全数字锁相环的结构与工作原理 (4)

2.1 鉴相器 (6)

2.2 变模可逆计数器(模数K可预置) (7)

2.3 加/减脉冲控制器 (7)

2.4 除H计数器 (7)

2.5 除N计数器 (7)

3. 全数字锁相环模块的设计与仿真 (7)

3.1 鉴相器的设计 (7)

3.2 数字环路滤波器的设计 (9)

3.3 用VHDL语言实现除H计数器 (12)

3.4 用VHDL语言实现加/减脉冲控制器 (12)

3.5 除N计数器(分频器)的实现 (13)

4. 全数字锁相环的整体仿真 (14)

5. 结语与展望 (16)

5.1 总结 (16)

5.2 展望 (16)

主要参考文献 (17)

致谢 (18)

1 绪论

1.1 课题研究的目的意义

本次进行研究的课题是全数字锁相环。锁相环路是一种反馈电路,锁相环的英文全称是Phase-Locked Loop,简称PLL。其作用是使得电路上的时钟和某一外部时钟的相位同步。因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。锁相环在通信、雷达、测量和自动化控制等领域应用极为广泛,随着电子技术向数字化方向发展,需要采用数字方式实现信号的锁相处理。因此,对全数字锁相环的研究和应用得到了越来越多的关注。

传统的数字锁相环系统是希望通过采用具有低通特性的环路滤波器,获得稳定的振荡控制数据。对于高阶全数字锁相环,其数字滤波器常常采用基于DSP 的运算电路[1]。这种结构的锁相环,当环路带宽很窄时,环路滤波器的实现将需要很大的电路量,这给专用集成电路的应用和片上系统SOC(system on chip)的设计带来一定困难。另一种类型的全数字锁相环是采用脉冲序列低通滤波计数电路作为环路滤波器,如随机徘徊序列滤波器、先N 后M 序列滤波器等[2]。这些电路通过对鉴相模块产生的相位误差脉冲进行计数运算,获得可控振荡器模块的振荡控制参数。

1.2 锁相环的发展历程

21世纪以来,随着数字电子技术的飞速发展,特别是数字模拟和信号处理技术在电子通讯、仪器仪表和各种多媒体等领域得到了愈来愈广泛的应用,用数字电路来处理模拟信号的情况也就越来越普遍。所以信息技术将来的发展趋势必然是模拟信号的数字化,而数字锁相环就是模拟信号数字化中极为重要的一部分。

锁相环是一种能使输出信号在频率和相位上与输出信号同步的电路,也就是说在系统进入了同步状态后,系统的输入信号与振荡器的输出信号一致,或者相差恒定为常数。在过去,传统的锁相环各部分的零件都是由模拟电路来构成,一般来说包括鉴相器(PD)、压控振荡器(VCO)、环路滤波器(LF)这三个基本环路部件[3]。锁相环最初的作用仅仅是用来提高电视接收机的行同步和帧同步,从而提高它的抗干扰能力。在20世纪五十年代末由于太空空间技术的不断发展,锁相环开始应用于遥控和跟踪宇宙中的大小飞行目标。

到了60年代初以后,数字通信系统的发展也越来越快,数字锁相环也随之出现,并以其独特的优点逐渐取代模拟锁相环。可此时的数字锁相环中仍然有模

拟的部件,性能也受到一定的影响。渐渐的,全数字锁相环出现并逐步的发展起来了。全数字锁相环将所有的环路部件全部数字化,主要由三个部件来构成,分别是数字鉴相器、数字环路滤波器和数控振荡器。由于模拟锁相环存在着温度漂移和易受电压变化影响的缺点,全数字锁相环的应用越来越广泛。它具备工作状态稳定,并且方便调节各种状态等优点,更重要的是,它的环路带宽和中心频率都可通过编程的方式来改变,可以更方便的去构建高阶锁相环。同时由于它本身的数字特性,使得如果将他应用在数字系统中时,可以省略掉A/D和D/A转换。近些年来,随着电子设计自动化(EDA)的迅猛发展,我们就可以很方便的使用VHDL语言来设计和模拟全数字锁相环

1.3 研究和发展

国外关于锁相环的技术是很先进的。从最开始的用分离器件组成的锁相环,一种自动变模全数字锁相环的设计到后来集成电路出现后诞生的集成锁相环。从模拟锁相环到数字锁相环再到全数字锁相环,还有后来的软件锁相环。如今,国外有关锁相环的产品大体用的是3.3v的供电电压,工作频率的范围是 100MHz 至2.4GHz。

2003 年,美国国家半导体推出的PLLAtnum锁相环芯片,操作频率高达3GHz 以上,适用于无线局域网,508Hz室内无绳电话、移动电话以及基站等应用方案。2005又研发出了 LMX2351芯片,当时这款芯片是业界相位噪声最低的锁相环芯片。它的工作频率是765MHz至2.79GHz,而且噪声低于-160dB/Hz。应用于通讯设备,无线收发系统,车辆电子系统以及测量仪表。这款芯片采用的是当时新出的delta-sigma分数环路,达到了3G基站的要求,而且相位噪声和寄生信号比较少,适合分离不同的信道,其效果远远优于之前的N整数结构。卓联半导体公司第一次推出了ZL30461锁相环,应用于网络设备。这款锁相环符合OC-12光学载波12级的通信要求,所以它能够应用于一些边沿设备的线路卡的设计。

在中国,有关锁相环的产品也很多。这是由于锁相环在家用电器中的应用极其广泛。美国有个MOSSI计划,设计了一些高性能的锁相环系列的产品,如放大器(用于光传输)、时钟恢复电路、数据判决器,这些产品不但拥有自主知识产权,而且都是功耗很小,集成度相当高,工艺也十分先进。值得一提的是,我国东南大学的王志功教授也参与了MOSSI计划,这将在一定程度上有利于国内锁相环技术的发展。第24研究所设计了我国的一款很高端的锁相环SB3236,该锁相环的工作频率高达 2.2GHz,而且主要性能参数也达到了国际先进的标准,所以使用SB3236的客户也较多。此外,联发科技(MTK)研发过一款全数字锁相环,用来小数分频。为了抑制开关噪声,该锁相环利用了“数字辅助技术”。为了精准的检测相位噪声,该技术利用了数字时间转换电路(TDC)和基于数字电路的

鉴频鉴相器。由于TDC电路存在一定的死区,该技术还利用bang-bang鉴相器。

锁相环技术已经成为当今科技领域不可或缺的一种技术。国外的 PLL 技术已经比较成熟了,相比之下,国内的PLL技术几乎被国外垄断,国内很少有企业掌握高新能PLL技术。所以对ADPLL深入研究有着很重要的意义。

目前,已有单片集成全数字锁相环的商用产品,但作为某一个实际项目设计,需要的锁相电路特性不尽相同,有些现成的产品,不是成本高、体积大、资源浪费多,就是不能完全满足设计性能的要求。根据位移检测的特点,采用高密度可编程逻辑器件,可根据实际要求,充分利用器件资源,同时把一些相关的数字电路组合在一起,不仅提高了系统的集成度和可靠性,降低了功耗,降低了成本,而且使电路性能得到明显改善[4]。

1.4 设计工具及设计语言

开发工具为MAX+plus II,设计语言为VHDL,MAX+plus II 开发工具是美国Altera公司自行设计的一种CAE软件工具,其全称为Multiple Array Matrix and Programmable Logic User System。它具有原理图输入,文本输入(采用硬件描述语言)和波形图输入三种输入手段,利用该工具所配备的编辑、编译、仿真、综合、芯片、编程等功能,将设计电路或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),做成ASIC芯片[5]。目前MAX+plus II 是市场上使用最广的开发工具软件之一,是一个功能强大、使用方便的设计工具。

VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法十分类似于一般的计算机高级语言。

2 全数字锁相环的结构与工作原理

全数字锁相环的基本结构框图如图1所示,由数字鉴相器、数字环路滤波器和数控振荡器三部分组成。

图 1: 全数字锁相环的基本结构框图

当环路失锁时,异或门鉴相器比较输入信号(f in )和输出信号(f out )之间的相

位差异,并产生K 变模可逆计数器的计数方向控制信号(dn/up); K 变模可逆计

数器根据计数方向控制信号(dn/up)调整计数值,dn/up 为高进行减计数,并当

计数值到达0时,输出借位脉冲信号(borrow);为低进行加计数,并当计数值达

到预设的K 模值时,输出进位脉冲信号(carry);脉冲加减电路则根据进位脉冲

信号(carry)和借位脉冲信号(borrow)在电路输出信号(id out )中进行脉冲的增加

和扣除操作,来调整输出信号的频率;重复上面的调整过程,当环路进入锁定状

态时,异或门鉴相器的输出s e 为一占空比50%的方波,而K 变模可逆计数器则周

期性地产生进位脉冲输出carry 和借位脉冲输出borrow ,导致脉冲加减电路的

输出id out 周期性的加入和扣除半个脉冲。这样对于输出的频率没有影响,也正

是基于这种原理,可以把等概率出现的噪声很容易的去掉[6]。

相位误差序列 相位校正序列 本地估算信号 参考信号

鉴相器 数字环路滤波器 DCO

外部晶振

Mf 0

f in

f out CP 1 U d 进位脉冲 借位脉冲

dec

inc CP 2 2Nf 0

异或门

鉴相器 加/减脉冲控制器 除H 计数器

除N 计数器 可逆计数器 i out

图2为全数字锁相环的设计框图

图2: ADPLL 设计框图

其中数字鉴相器采用了异或门鉴相器;数字环路滤波器由变模可逆计数器构

成(模数K 可预置);数控振荡器由加/减脉冲控制器和除N 计数器构成。可逆计

数器和加/减脉冲控制器的时钟频率分别为Mf 0和2Nf 0。这里f 0是环路的中心频率,

一般情况下M 和N 为2的整数幂。时钟2Nf 0经除H (=M/2N )计数器得到。

结合模拟和数字锁相的理论分析,可以得到全数字锁相环的相位和相差传递

函数。图3为全数字锁相环的数学模型。

图3: 全数字锁相环的数学模型

鉴相器可以看做增益为K d 的模块,输出占空比因子δk 作为K 变模计数器的

输入DN/ UP ,控制“ UPCOUNTER ”和“ DOWNCOUNTER ”的动作 。

K d k ?-=)(21θθδ (2.1)

对于异或门鉴相器 ,相差等于π/2时,δk = 1 ,相差等于-π/2时,δk =-1 。

因此对于异或门鉴相器增益K d =2/π,同理可得边沿控制鉴相器增益K d =1/π。

K 变模计数器产生CARRY 信号的频率为(f 0为环路的中心频率):

K

M f f k carry 0δ= (2.2) 相应的角频率为:

K M f K carry 02π

δω*= (2.3)

相位是角频率对时间的积分: dt carry carry ?=ωθ (2.4)

对于K 变模计数器,其输入输出信号分别为δK 和θ

carry , 对应的Laplace 变换为δK (s)和θcarry (s), 所以K 变模计数器的相位传递函数为:

()()()K f s

K carry M s s s K 0==δθ (2.5)

对于脉冲加减电路,由于每个CARRY 脉冲使其输出ID OUT 增加1/2个周期,可以将

他看作增益为1/2的模块。除N 计数器可以看作增益为1/N 的模块。系统的相位

传递函数H(s)表示为:

()()()ωωθθ001

2

+==s s s s H (2.6) 其中:

KN

M f K d 00πω=

(2.7) 系统的相差传递函数为: ()()ω0

1+=-=s s s H s He (2.8)

显而易见,该ADPLL 为一阶系统,时间常数为:

f K M KN d 0

01

πτω== (2.9) 为了获得最小波纹,对于异或门(XOR)鉴相器和边沿控制鉴相器(ECPD),K 模值分

别取为M/4 和M/2 ,相应的时间常数分别为:τ(EXOR)=(N/8)T 0 ,τ(ECPD)

=(N/2)T 0 ,其中T0 =1/f 0 由此可见,N 越小,ADPLL 的稳定时间越短。

2.1 鉴相器

鉴相器将输入信号与位同步输入脉冲相异或,比较它们之间的相位差,并输

出相位误差信号作为可逆计数器的计数方向的控制信号[7]。

当环路锁定时,这个控制信号为占空比是50%的方波。

2.2 变模可逆计数器(模数K可预置)

K变模可逆计数器消除了鉴相器输出的误差信号中的高频部分,使得整个环路更加的稳定。

可逆计数器的主要作用是根据鉴相器的相位误差信号作为方向脉冲,从而输出加减脉冲信号[8]。当相位误差信号为低电平时,计数器则进行加法运算,若加法运算的结果达到了预设的模值,那么可逆计数器将输出一个进位脉冲信号;当相位误差信号为高电平时,可逆计数器进行减法运算,若减法运算的结果为0,那么计数器将输出一个借位脉冲信号。

2.3 加/减脉冲控制器

加减脉冲控制器是根据可逆计数器输出的进位、借位脉冲来不断地对本地时钟进行调整。当有进位脉冲时,脉冲加减电路就在本地时钟加入一个周期的时钟信号;当输入借位脉冲时,脉冲加减电路就会在本地时钟上扣除一个周期的时钟信号。这样往复不断地对本地时钟进行调整,最终达到准确确定出输入信号时钟的目的,从而实现位同步。

2.4 除H计数器

除H计数器是将时钟频率进行分频后的频率作为数控振荡器的时钟频率,其实,为了使电路简单,可变模K计数器(数字环路滤波器)和数控振荡器的时钟驱动信号可由同一振荡器产生,但为使可对数控振荡器的时钟频率具有可调性,增加其灵活性,可将同一振荡器产生时钟信号进行分频后再作为数控振荡器的时钟频率,这就是除H计数器的功用(H是可变的)。

2.5 除N计数器

N分频器是将脉冲加减器输出的经过调整以后的时钟信号进行分频,以减小同步误差[9]。N值越大得到的同步误差越小。

3 全数字锁相环模块的设计与仿真

3.1 鉴相器的设计

本次设计中鉴相器采用的是异或门鉴相器。异或门鉴相器用于比较输入信号

u 1 与数控振荡器输出信号u

2

的相位差,其输出信号u

d

作为可逆计数器的计数方

向控制信号,连接到变模可逆计数器的u

d 端。当u

d

为低电平时(u

1

和u

2

有同极性

时),可逆计数器作"加"计数。反之,当u

d

为高电平时,可逆计数器作"减"计数。

当环路锁定时,f

i 和f

o

正交,鉴相器的输出信号U

d

为50%占空比的方波,此时

定义相位误差为零,在这种情况下,可逆计数器“加”和“减”的周期是相同的,只要可逆计数器只对其时钟的k值足够大(k>M/4),其输出端就不会产生进位或

借位脉冲,加/减脉冲控制器只对其时钟2Nf

o 进行二分频,使f

i

和f

o

的相位保持

正交。在环路未锁定的情况下,若U

d =0即u

1

、u

2

同极性时,它使可逆计数器向上

加计数,并导致进位脉冲产生,进位脉冲作用到加/减脉冲控制器的“加”控制

端R1,该控制器便在二分频过程中加入半个时钟周期,即一个脉冲。反之,若U

d

=1时,可逆计数器进行减计数,导致借位脉冲产生,并将借位脉冲作用到加/

减脉冲控制器的“减”输入端R

2

,于是,该控制器便在二分频过程中减去半个时钟周期,即一个脉冲。这个过程是连续发生的。加/减脉冲控制器的输出经过除N

计数后,使得本地估算信号U

2

的相位受到调整控制,最终达到锁定的状态。

异或门数字鉴相器VHDL程序代码如下:

library ieee;

use ieee.std_logic_1164.all;

entity jxq is

port(u1,u2:in std_logic;

ud:out std_logic);

end entity jxq;

architecture art of jxq is

begin

ud <=u1 xor u2;

end architecture art ;

异或门数字鉴相器模块如图4所示:

图4: 异或门鉴相器

分析:数字鉴相器(JXQ)输入端为U1和U2,其中U1为需要进行锁相控制的输入信号,U2为经过最后一个环节除N(N在这里等于8)计数器后的信号(即输出信号),异或门比较输入信号U1相位和输出信号U2相位之间的相位误差,即U1和U2进行异或运算。经过比较后,异或门输出误差信号U D作为JXQ的结果由U D端输出。

使用MAX+plus II软件仿真,异或门数字鉴相器的仿真波形如图5所示:

图5: 鉴相器仿真波形

模块进行分别仿真时,u2需要自己赋值,在此u1和u2都赋以方波。如上图4-10所示,u1与u2频率相同,而相位差为90度,故u d输出的信号频率恰好时输入信号的两倍,即u d为占空比为50%的方波。

3.2 数字环路滤波器的设计

数字环路滤波器是由变模可逆计数器构成的。该计数器设计为一个9位可编程(可变模数)可逆计数器,计数范围是由外部置数CBA控制。假设系统工作无相位差,由锁相环原理知,u1和u2的相位差0 ,异或门鉴相器输出是一个对称的方波,因此可逆计数器在相同的时间间隔内进行加或减计数,只要k 足够大,那么从零开始的计数就不会溢出或不够。

若u1始落u2,异或门输出不对称,那么计数器加计数时间比减计数时间长,其结果计数器随着时间的增长将溢出,产生一个进位脉冲。相反,若u1开始滞后u2,计数器将产生一个借位脉冲。进位和借位脉冲可用来控制DCO,使得DCO 输出的脉冲数根据进位和借位来加上或者是删除一些脉冲,实际上也就改变了DCO 的输出频率。

变模可逆计数器的设计由VHDL 完成,程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity bmkn is

port(clk,ud,en,c,b,a:in std_logic;

r1,r2:out std_logic);

end entity bmkn;

architecture behave of bmkn is

signal cq,k,mo : std_logic_vector (8 downto 0);

signal cao1,cao2: std_logic;

signal instruction: std_logic_vector (2 downto 0);

begin

instruction <= c&b&a;

with instruction select mo<="000000111"when"001",

"000001111"when"010",

"000011111"when"011",

"000111111"when"100",

"001111111"when"101",

"011111111"when"110",

"111111111"when"111",

"000000111"when others;

process (clk,en,ud,k,cq) is

begin

if clk'event and clk = '1'then

k <= mo;

if en = '1'then

if ud = '0'then

if cq < k then cq <= cq + 1;

else cq <= (others => '0');

end if;

else

if cq > 0 then cq <= cq - 1;

else cq <= k;

end if;

end if;

else cq <= (others =>'0') ;

end if;

end if;

end process;

process (en,ud,cq,k) is

begin

if en ='1'then

if ud ='0'then

if cq =k then cao1 <= '1';

else cao1 <= '0';

end if;

cao2 <= '0';

else

if cq ="000000000"then

cao2 <= '1';

else cao2 <= '0';

end if ;

cao1 <= '0';

end if;

else cao1 <= '0';cao2 <= '0';

end if;

end process;

r1 <= cao1;

r2 <= cao2 ;

end behave ;

数字环路滤波器模块如图6所示:

图6: 数字环路滤波器模块

其中CBA为外部置数控制,由它来控制模数,它在001-111范围内变化,相应的模数在2∧3--2∧9范围内变化。U D与鉴相器的输出端相连用来控制可逆计数器的计数方向。R1,R2分别为进位和借位输出端。

数字环路滤波器的仿真波形如图7所示:

图7: 数字环路滤波器仿真波形

在上图中,因为是局部仿真,故u d输入端自行赋值,在前250ns时,u d为高电平,故计数器进行减法运算,因为是从000开始减,故需要借位,r2置1,当u d在后250ns时为低电平,故计数器进行加法运算,当加到0FF后需进位,故r1置1。

3.3 用VHDL语言实现除H计数器

除H计数器(H=4)的生成模块和仿真波形见图8和图9

图8: 除H计数器模块

图9: 除H计数器仿真波形

3.4 用VHDL语言实现加/减脉冲控制器

脉冲加减电路的VHDL语句

library ieee ;

use ieee .std_logic_1164 .all;

use ieee .std_logic_unsigned .all;

use ieee .std_logic_arith.all ;

entity idc is

por t(idclk , reset :in std_logic ;

inc , dec:in std_logic;

idout :out std_logic);

end idc ;

architecture rtl of idc is

component dff2

port(d , clk , clrn :in std_logic ;

q, qn :out std_logic);

end component;

component jkff2

port(j, k , clk , clrn :in std_logic ;

q, qn :out std_logic);

end component;

signal q1 , q1n, q2 , q2n , q3 , q3n , q4, q4n, q5 , q5n:std_logic; signal q6 , q6n, q7 , q7n , q8 , q8n , q9, q9n, d7 , d8:std_logic ;

begin

ffd1 :dff2 port map(inc , idclk, reset , q1, q1n);

ffd2 :dff2 port map(dec, idclk , reset, q2 , q2n);

ffd3 :dff2 port map(q1 , idclk, reset , q3, q3n);

ffd4 :dff2 port map(q2 , idclk, reset , q4, q4n);

ffd5 :dff2 port map(q3 , idclk, reset , q5, q5n);

ffd6 :dff2 port map(q4 , idclk, reset , q6, q6n);

d7 <=(q9 and q1n and q3)or(q9 and q5 and q3n);

d8 <=(q9n and q2n and q4)or(q9n and q6 and q4n);

ffd7 :dff2 port map(d7, idclk , reset , q7, q7n);

ffd8 :dff2 port map(d8 , idclk, reset , q8, q8n);

jk :jkff2 port map(q7n, q8n, idclk , re set , q9, q9n);

idout <=idclk no r q9 ;

end rtl ;

用VHDL语言设计的加减脉冲控制器的模块图如图10

图10: 脉冲加减电路模块

图11: 脉冲加减电路仿真波形

3.5 除N计数器(分频器)的实现

除N计数器作用:除N计数器是将数控振荡器的输出信号进行N分频后作为跟踪信号u2,以使u2的频率与本地时钟信号u1相同。N分频器对脉冲加/减电路的输出脉冲再进行N分频后,得到整个环路的输出信号频率Fout=CLK/(2N*H)=f0,因此通过改变分频值N可以得到不同的环路中心频率f0(其中,N必须是2的整数幂)。另外,模值N的大小决定了DPLL的鉴相灵敏度为Π/N。

除N(N=8)计数器的生成模块见图12

图12: 除N计数器模块

相应的仿真波形见图13

图13: 除N计数器仿真波形

4. 全数字锁相环的整体仿真

三个模块均用VHDL语言所做后的总体仿真波形如图14、图15、图16所示:锁相环仿真波形(K=8,M=64,N=8,H=4),进入锁定时间为12.3ns。

图14: 锁相环仿真波形(K=8)

由于模值K取值小,故系统很快就进入稳定锁定状态,由图可以看出,当外部置数CBA取001且时钟频率取值远远小于输入信号频率,IOUT输出端为均匀的脉冲,即系统锁定。

锁相环仿真波形(K=128,M=64,N=8,H=4),锁定时间为274.5ns。

图15: 锁相环仿真波形(K=128)

与图14相比较,此时外部置数为101,对应模值K为128,系统没有立即稳定,在前214.5ns时,IOUT输出端输出为不均匀脉冲,当过了这个时刻,输出端输出为均匀脉冲,系统进入锁定状态。

锁相环仿真波形(K=256,M=64,N=8,H=4),锁定时间为2.3945us。

图16: 锁相环仿真波形(K=256)

与图14、图15相比较,图16进入锁定时间明显推迟,此时模值K为256,进入稳定时间为2.3945us。

总体分析:CBA置数不同,模数不同,故iout端输出锁定的时间也都不同。由上图对比可知,模k 愈大,环路进入锁定状态的时间越长。k 取得过大,对抑制噪声、减少相位抖动有利,但是同时又加大了环路进入锁定状态的时间。反之, k 取得过小,可以加速环路的锁定,而对噪声的抑制能力却随之降低。

5 结语与展望

5.1 总结

采用VHDL 设计全数字锁相环路,具有设计灵活,修改方便和易于实现的优点,并能够制成嵌入式片内锁相环。该类数字锁相环路中计数器的模数可以随意修改,增加了系统应用的灵活性与通用性,故有较大的改进。这样,就能够根据不同的情况最大限度地、灵活地设计环路。而且采用VHDL设计数字锁相环路,具有设计灵活、修改方便和易于实现的优点。同时,采用在系统可编程芯片实现有利于提高系统的集成度和可靠性。

基于VHDL语言的可变模数数字锁相环,不仅简化了硬件的开发和制作过程,而且使硬件体积大大减小,并提高了系统的可靠性。该方法可以在不修改硬件电路的基础上,通过修改设计软件、更改移相范围就可满足不同条件下的需要。

全数字锁相环中可逆计数器及N分频器的时钟由外部晶振提供。不用VCO,可大大减轻温度及电源电压变化对环路的影响。

5.2 展望

目前,已有单片集成全数字锁相环的商用产品,但作为某一个实际项目设计,需要的锁相电路特性不尽相同,有些现成的产品,不是成本高、体积大、资源浪费多,就是不能完全满足设计性能的要求。根据位移检测的特点,采用高密度可编程逻辑器件,可根据实际要求,充分利用器件资源,同时把一些相关的数字电路组合在一起,不仅提高了系统的集成度和可靠性,降低了功耗,降低了成本,而且使电路性能得到明显改善。

锁相环设计

锁相环测量简述 一、锁相环路的基本工作原理 锁相环路是一个相位反馈自动控制系统。它由以下三个基本部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。其组成方框图如下所示。 锁相环路的基本方框图 锁相环可用来实现输出和输入两个信号之间的相位同步。当没有基准(参考)输入信号时,环路滤波器的输出为零(或为某一固定值)。这时,压控振荡器按其固有频率fv进行自由振荡。当有频率为fR的参考信号输入时,uR 和uv同时加到鉴相器进行鉴相。 如果fR和fv相差不大,鉴相器对uR和uv进行鉴相的结果,输出一个与uR和uv的相位差成正比的误差电压ud,再经过环路滤波器滤去ud中的高频成分,输出一个控制电压uc,uc将使压控振荡器的频率fv(和相位)发生变化,朝着参考输入信号的频率靠拢,最后使fv= fR,环路锁定。 环路一旦进入锁定状态后,压控振荡器的输出信号与环路的输入信号(参考信号)之间只有一个固定的稳态相位差,而没有频差存在。环路的锁定状态是对输入信号的频率和相位不变而言的,若环路输入的是频率和相位不断变化的信号,而且环路能使压控振荡器的频率和相位不断地跟踪输入信号的频率和相位变化,则这时环路所处的状态称为跟踪状态。锁相环路在锁定后,不仅能使输出信号频率与输入信号频率严格同步,而且还具有频率跟踪特性,所以它在电子技术的各个领域中都有着广泛的应用。 二、环路部件的测量 I.鉴相器特性的测量 鉴相器的主要性能可用鉴相特性曲线和鉴相灵敏度来表示。 鉴相特性曲线是表示鉴相器的输出电压Vd与两个输入比相信号之间相位差θe的关系曲线,其测量方法如右图所示,在测量精度要求不高的情况下,可用双踪示波器来代替相位计。

全数字锁相环毕业设计终稿

安徽大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生姓名:郑义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011年9月 导师姓名:吴秀龙职称/学位:教授/博士 导师所在单位:安徽大学电子信息工程学院 完成时间:2015 年5月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国内外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

毕业设计论文:PLL锁相环电路

摘要 随着通信及电子系统的飞速发展,促使集成锁相环和数字锁相环突飞猛进。本次毕业设计的主要任务是,采用0.18μm CMOS 工艺,设计实现一个基于改进的鉴频鉴相器,压控振荡器,环路滤波器的全集成的CMOS PLL 锁相环电路,设计重点为PLL 锁相环电路的版图设计,设计工具为Laker。 本论文介绍了PLL 锁相环电路的基本原理以及其完整的版图设计结果。本次设计表明,采用该方案实现的锁相环电路主要功能工作正常,初步达到设计要求。 关键词:PLL 锁相环电路,鉴频鉴相器,压控振荡器,环路滤波器,版图设计, 0.18μm CMOS 工艺 Abstract

With the development of the communications and electronic systems, the technology of the integrated PLL and digital PLL develops rapidly. The main task of graduation is to design and realize a fully integrated CMOS PLL circuit which is based on an improved phase detector, VCO, loop filter using the 0.18 μ m CMOS technolog. yThe design focus on the layout of the PLL circuit, and the design tools is the Laker. This paper introduces the basic principles of PLL phase locked loop circuit and its comprehensive layout results. This design shows that the program implemented by the main function of PLL circuit is working well, and it meets the design requirements. Key words: PLL phase locked loop circuits, popularly used phase detectors, discrimination, VCO loop filter, layout design, 0.18

PLL(锁相环)电路原理及设计 [收藏]

PLL(锁相环)电路原理及设计[收藏] PLL(锁相环)电路原理及设计 在通信机等所使用的振荡电路,其所要求的频率范围要广,且频率的稳定度要高。无论多好的LC振荡电路,其频率的稳定度,都无法与晶体振荡电路比较。但是,晶体振荡器除了可以使用数字电路分频以外,其频率几乎无法改变。如果采用PLL(锁相环)(相位锁栓回路,PhaseLockedLoop)技术,除了可以得到较广的振荡频率范围以外,其频率的稳定度也很高。此一技术常使用于收音机,电视机的调谐电路上,以及CD唱盘上的电路。 一PLL(锁相环)电路的基本构成 PLL(锁相环)电路的概要 图1所示的为PLL(锁相环)电路的基本方块图。此所使用的基准信号为稳定度很高的晶体振荡电路信号。 此一电路的中心为相位此较器。相位比较器可以将基准信号与VCO (Voltage Controlled Oscillator……电压控制振荡器)的相位比较。如果此两个信号之间有相位差存在时,便会产生相位误差信号输出。 (将VCO的振荡频率与基准频率比较,利用反馈电路的控制,使两者的频率为一致。) 利用此一误差信号,可以控制VCO的振荡频率,使VCO的相位与基准信号的相位(也即是频率)成为一致。 PLL(锁相环)可以使高频率振荡器的频率与基准频率的整数倍的频率相一致。由于,基准振荡器大多为使用晶体振荡器,因此,高频率振荡器的频率稳定度可以与晶体振荡器相比美。 只要是基准频率的整数倍,便可以得到各种频率的输出。 从图1的PLL(锁相环)基本构成中,可以知道其是由VCO,相位比较器,基准频率振荡器,回路滤波器所构成。在此,假设基准振荡器的频率为fr,VCO的频率为fo。 在此一电路中,假设frgt;fo时,也即是VC0的振荡频率fo比fr低时。此时的相位比较器的输出PD 会如图2所示,产生正脉波信号,使VCO的振荡器频率提高。相反地,如果frlt;fo时,会产生负脉波信号。

全数字锁相环的设计

全数字锁相环的设计 锁相环()技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环()与传统的模拟电路实现的相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需及转换。随着通讯技术、集成电路技术的飞速发展和系统芯片()的深入研究,必然会在其中得到更为广泛的应用。 这里介绍一种采用硬件描述语言设计的方案。 结构及工作原理 一阶的基本结构如图所示。主要由鉴相器、变模可逆计数器、脉冲加减电路和除计数器四部分构成。变模计数器和脉冲加减电路的时钟分别为和。这里是环路中心频率,一般情况下和都是的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门()鉴相器和边沿控制鉴相器(),本设计中采用异或门()鉴相器。异或门鉴相器比较输入信号相位和输出信号相位之间的相位差ФФФ,并输出误差信号作为变模可逆计数器的计数方向信号。环路锁定时,为一占空比的方波,此时的绝对相为差为°。因此异或门鉴相器相位差极限为±°。异或门鉴相器工作波形如图所示。

图异或门鉴相器在环路锁定及极限相位差下的波形 变模可逆计数器 变模可逆计数器消除了鉴相器输出的相位差信号中的高频成分,保证环路的性能稳定。变模可逆计数器根据相差信号来进行加减运算。当为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号给脉冲加减电路;当为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图所示。 图脉冲加减电路工作波形 除计数器

锁相环基本原理及其应用

锁相环及其应用 所谓锁相环路,实际是指自动相位控制电路(APC),它是利用两个电信号的相位 误差,通过环路自身调整作用,实现频率准确跟踪的系统,称该系统为锁相环路,简称环路,通常 用PLL表示。 称VCO )三个部件组成闭合系统。这是一个基本环路,其各种形式均由它变化而来 PLL概念 设环路输入信号V i= V im Sin( 3 i t+ 0 i) 环路输出信号V o= V om Sin( 3 o t+ 0 o) 其中 3 o = 3 r +△ 3 o 率的自动控制系统称为锁相环路 PLL构成 由鉴相器(PD环路滤波器(LPF)压控振荡器(VCO组成的环路 通过相位反馈控制, 最终使相位保持同步, 实现了受控频率准确跟踪基准信号频锁相环路是由鉴相器(简称PD)、环路滤波器(简称LPF或LF )和压控振荡器(简

ejt 戶心(tAejt)谋差相檯 PLL 原理 从捕捉过程一锁定 A.捕捉过程(是失锁的) 0 i — 0 i 均是随时间变化的,经相位比较产生误差相位 0 e = 0 i - 0 o ,也是变化的。 b. 0 e (t)由鉴相器产生误差电压 V d (t)= f ( 0 e )完成相位误差一电压的变换作用。 V d (t)为交流电压。 C. V d (t)经环路滤波,滤除高频分量和干扰噪声得到纯净控制电压,由 VCO 产生 控制角频差△ 3 0,使3 0随3i 变化。 B.锁定(即相位稳定) 即 3 0= 3 r + △ 3 Omax 。 3 r 为VCO 固有振荡角频率。) 锁相基本组成和基本方程(时域) 各基本组成部件 鉴相器(PD) a. 一旦锁定0 e (t)= 0 e -(很小常数) V d (t)= V d (直流电压) b. 3 0= 3 i 输出频率恒等于输入频率(无角频差,同时控制角频差为最大△ 3 Omax

全数字锁相环的设计

全数字锁相环的设计 锁相环(PLL)技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现的PLL相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,DPLL必然会在其中得到更为广泛的应用。 这里介绍一种采用VERILOG硬件描述语言设计DPLL的方案。 DPLL结构及工作原理 一阶DPLL的基本结构如图1所示。主要由鉴相器、K变模可逆计数器、脉冲加减电路和除N计数器四部分构成。K变模计数器和脉冲加减电路的时钟分别为Mfc和2Nfc。这里fc是环路中心频率,一般情况下M和N都是2的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图1 数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门(XOR)鉴相器和边沿控制鉴相器(ECPD),本设计中采用异或门(XOR)鉴相器。异或门鉴相器比较输入信号Fin相位和输出信号Fout相位之间的相位差Фe=Фin-Фout,并输出误差信号Se作为K变模可逆计数器的计数方向信号。环路锁定时,Se为一占空比50%的方波,此时的绝对相为差为90°。因此异或门鉴相器相位差极限为±90°。异或门鉴相器工作波形如图2所示。

图2 异或门鉴相器在环路锁定及极限相位差下的波形 K变模可逆计数器 K变模可逆计数器消除了鉴相器输出的相位差信号Se中的高频成分,保证环路的性能稳定。K变模可逆计数器根据相差信号Se来进行加减运算。当Se 为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号CARRY给脉冲加减电路;当Se为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号BORROW给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图3所示。 图3 脉冲加减电路工作波形 除N计数器

锁相环的基本原理和模型

1.锁相环的基本原理和模型 在并网逆变器系统中,控制器的信号需要与电网电压的信号同步,锁相环通过检测电网电压相位与输出信号相位之差,并形成反馈控制系统来消除误差,达到跟踪电网电压相位和频率的目的。一个基本的锁相环结构如图1-1所示,主要包括鉴相器,环路滤波器,压控振荡器三个部分。 图1-1 基本锁相环结构 鉴相器的主要功能是实现锁相环输出与输入的相位差检测;环路滤波器的主要作用应该是建立输入与输出的动态响应特性,滤波作用是其次;压控振荡器所产生的所需要频率和相位信息。 PLL 的每个部分都是非线性的,但是这样不便于分析设计。因此可以用近似的线性特性来表示PLL 的控制模型。 鉴相器传递函数为:)(Xo Xi Kd Vd -= 压控振荡器可以等效为一个积分环节,因此其传递函数为:S Ko 由于可以采用各种类型不同的滤波器(下文将会讲述),这里仅用)(s F 来表示滤波器的传递函数。 综合以上各个传递函数,我们可以得到,PLL 的开环传递函数,闭环传递函数和误差传递函数分别如下: S s F K K s G d o op )()(=,)()()(s F K K S s F K K s G d o d o cl +=,) ()(s F K K S S s H d o += 上述基本的传递函数就是PLL 设计和分析的基础。 2.鉴相器的实现方法 鉴相器的目的是要尽可能的得到准确的相位误差信息。可以使用线电压的过零检测实现,但是由于在电压畸变的情况下,相位信息可能受到严重影响,因此需要进行额外的信号处理,同时要检测出相位信息,至少需要一个周波的时间,动态响应性能可能受到影响。 一般也可以使用乘法鉴相器。通过将压控振荡器的输出与输入相乘,并经过一定的处理得到相位误差信息。 在实际的并网逆变器应用中还可以在在同步旋转坐标系下进行设计,其基本的目的也是要得的相差的数值。同步旋转坐标系下的控制框图和上图类似,在实际使用中,由于pq 理论在电网电压不平衡或者发生畸变使得性能较差,因而较多的使用dq 变换,将采样得到的三相交流电压信号进行变化后与给定的直流参考电压进行比较。上述两种方法都使用了近似,利用在小角度时正弦函数值约等于其角度,因而会带来误差,这个误差是人为近似导致的误差,与我们要得到的相位误差不是一个概念,最终的我们得到相位误差是要形成压控振荡器的输入信号,在次激励下获得我们所需要的频率和相位信息。 2.1乘法鉴相器

基于数字锁相环的同步倍频器设计

一、主要内容与要求 1.掌握应用电子设计自动化(EDA)技术设计电子系统的方法; 2.采用超高速集成电路硬件描述语言(Verilog)设计一种基于数字锁相环的倍频器; 3.重点设计数字环路滤波器和数控振荡器; 4.利用计算机仿真技术进行验证; 5.阅读并翻译3000单词以上的英文资料。 二、主要技术要求 n倍频;2 1.系统能够实现输出信号为输入信号的2.改变系统参数可以得到不同的倍频信号,且始终能够使输出信号与输入信号保持同步; 3.用Verilog语言编写设计程序,利用计算机仿真予以验证。 三、研究方法 1.在查阅大量技术资料的基础上,进行设计方案的比较; 2.确定全数字锁相环系统的设计方案; 3.采用自顶向下的设计方法,进行系统模块的划分,并确定用Verilog设计各功能模块的算法; 4.编写系统设计程序,并进行仿真验证,经过反复修改使电路系统达到设计要求。 四、工作进度安排 1.2012年12月学习掌握Verilog设计技术,收集和整理与毕业设计有关的资料; 2.2013年1月在分析和整理资料的基础上写开题报告,确定设计方案和研究技术路线; 3.2013年3月完成环路滤波器和数控振荡器的设计与仿真; 4.2013年4月完成全数字倍频器的系统设计与仿真; 5.2013年5月撰写毕业设计说明书和准备毕业答辩稿; 6.2013年6月初毕业答辩。 指导教师 南华大学本科生毕业设计(论文)开题报告

设计(论文)题目基于数字锁相环的同步倍频器设计 省部级课题设计(论文)题目来源起止时工程设计2012.12013.6 设计(论文)题目类 一、设计(论文)依据及研究意义 锁相(phase-locked loop是一种反馈控制电路,作用是实现设备外部输入信号与内部的震荡信号同步其基本组成包括鉴相 phasedetector环路滤波器loopfilter)和压控振荡器 voltagecontroloscillato) 倍频器frequencymultiplie)是使输出信号频率等于输入信号频率整倍的电路利用非线性电路产生高次谐波或者利用频率控制回路都可以构成倍器倍频器也可由一个压控振荡器和控制环路构成它的控制电路产生一控制压,使压控振荡器的振荡频率严格地锁定在输入频f的倍乘fnf 因为非线性变换过程中产生的大量谐波使输出信号相位不稳定所以其构的倍频器,倍频噪声较大。倍频次数越高,倍频噪声就越大,使倍频器的应用到限制在要求倍频噪声较小的设备中可采用根据锁相环原理构成的锁相环步倍频器 模拟锁相环主要由相位参考提取电路压控振荡器相位比较器控制电等组成压控振荡器输出的是与需要频率很接近的等幅信号把它和由相位参提取电路从信号中提取的参考信号同时送入相位比较器用比较形成的误差通控制电路使压控振荡器的频率向减小误差绝对值的方向连续变化实现锁相而达到同步 数字锁相环主要由相位参考提取电路、晶体振荡器、分频器、相位比较器脉冲补抹门等组成分频器输出的信号频率与所需频率十分接近把它和从信中提取的相位参考信号同时送入相位比较器比较结果示出本地频率高了时就过补抹门抹掉一个输入分频器的脉冲相当于本地振荡频率降低相反若示本地频率低了时就在分频器输入端的两个输入脉冲间插入一个脉冲相当于本振荡频率上升,从而达到同步。.

基于FPGA的数字锁相环的设计

目录 第一章绪论..................................... 错误!未定义书签。 1.1锁相环技术的发展及研究现状................................................ 错误!未定义书签。 1.2课题研究意义 ........................................................................... 错误!未定义书签。 1.3本课题的设计内容.................................................................... 错误!未定义书签。第二章 FPGA的设计基础............................ 错误!未定义书签。 2.1硬件设计语言-Verilog HDL.................................................. 错误!未定义书签。 2.2 FPGA的设计流程 ...................................................................... 错误!未定义书签。第三章锁相环的原理. (2) 3.1全数字锁相环基本结构 (3) 3.2全数字锁相环的工作原理 (4) 第四章数字锁相环的设计 (5) 4.1基于FPGA的数字锁相环总体设计方案 (5) 4.2数字鉴相器的设计 (6) 4.3 K变模可逆计数器的设计 (7) 4.4脉冲加减器的设计 (10) 4.5 N分频器的设计 (12) 第五章实验仿真与调试 (14) 5.1数字锁相环的仿真 (14) 5.2数字锁相环的系统实验 (15) 结束语 (19) 参考文献 (20) 附录 (21)

全数字锁相环毕业设计终稿

大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生:义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011 年9 月 导师:吴秀龙职称/学位:教授/博士 导师所在单位:大学电子信息工程学院 完成时间:2015 年 5 月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

基于Matlab的数字锁相环的仿真设计

基于Matlab的数字锁相环的仿真设计 摘要:锁相环是一个能够跟踪输入信号相位变化的闭环自动跟踪系统。它广泛应用于无线电的各个领域,并且,现在已成为通信、雷达、导航、电子仪器等设备中不可缺少的一部分。然而由于锁相环设计的复杂性,用SPICE对锁相环进行仿真,数据量大,仿真时间长,而且需进行多次仿真以提取设计参数,设计周期长。本文借助于Matlab中Simulink仿真软件的灵活性、直观性,在Simulink 中利用仿真模块搭建了全数字锁相环的仿真模型。先借助模拟锁相环直观形象、易于理解的特点,通过锁相环在频率合成方面的应用,先对模拟锁相环进行了仿真,对锁相环的工作原理进行了形象的说明。在模拟锁相环的基础上,重新利用仿真模块搭建了全数字锁相环的仿真模型,通过仿真达到了设计的目的,验证了此全数字锁相环完全能达到模拟锁相环的各项功能要求。 关键词:锁相环,压控振荡器,锁定,Simulink,频率合成,仿真模块 1引言 1932年法国的H.de Bellescize提出同步捡波的理论,首次公开发表了对锁相环路的描述。到1947年,锁相环路第一次应用于电视接收机的水平和垂直扫描的同步。到70年代,随着集成电路技术的发展,逐渐出现集成的环路部件、通用单片集成锁相环路以及多种专用集成锁相环路,锁相环路逐渐变成了一个成本低、使用简便的多功能组件,为锁相技术在更广泛的领域应用提供了条件。锁相环独特的优良性能使其得到了广泛的应用,其被普遍应用于调制解调、频率合成、电视机彩色副载波提取、FM立体声解码等。随着数字技术的发展,相应出现了各种数字锁相环,它们在数字信号传输的载波同步、位同步、相干解调等方面发挥了重要的作用。而Matlab强大的数据处理和图形显示功能以及简单易学的语言形式使Matlab在工程领域得到了非常广泛的应用,特别是在系统建模与仿真方面,Matlab已成为应用最广泛的动态系统仿真软件。利用MATLAB建模可以快速地对锁相环进行仿真进而缩短开发时间。 1.1选题背景与意义 Matlab是英文MATrix LABoratory(矩阵实验室)的缩写。1980年,时任美国新墨西哥大学计算机系主任的Cleve Moler教授在给学生讲授线性代数课程时,为使学生从繁重的数值计算中解放出来,用FORTRAN语言为学生编写了方便使用Linpack和Eispack的接口程序并命名为MATLAB,这便是MATLAB的雏形。经过几年的校际流

CMOS4046集成电路研究锁相环(PLL)的工作原理 毕业论文外文翻译

本实验要使用CMOS4046集成电路研究锁相环(PLL )的工作原理。电路包括两个不同的鉴相器和一个VCO 。另外还有一个齐纳二极管参考电压源用在供电调节中,在解调器输出中有一个缓冲电路。用户必须提供环路滤波器。4046具有高输入阻抗和低输出阻抗,容易选择外围元件。 注意事项 1. 本实验较为复杂,进入实验室之前,确认你已经弄懂了电路预计应该怎样工作。对某样东西还没有充分分析之前,不要去尝试制作它。在开始实验之前要通读本文。 2. 在实验第一部分得到的数据要用来完成实验的其它任务。所以要仔细对待这部分内容。 3. 小心操作4046芯片,CMOS 集成电路很容易损坏。避免静电释放,使用10k Ω电阻把信号发生器的输出耦合到PLL 。在关掉4046供电电源之前先关闭信号发生器,或者从信号输入端给整个电路供电。要避免将输出端对电源或对地短路,TTL 门电路可以容忍这种误操作但CMOS 不能(要注意松散的导线)。CMOS 输出也没有能力驱动电容负载。VSS 应该接地,VDD 应该接5V ,引脚5应该接地(否则VCO 被禁止)。 1 VCO 工作原理 阅读数据手册中的电路描述。VCO 常数(0K 单位为弧度/秒-伏)是工作频率 变化与输入电压(引脚9上)变化之比值。测量出0K ,即,画出输出频率关于 输入电压的曲线。确认数据范围要覆盖5kHz 到50kHz 。对于R1, R2 和C 的各种参数取值进行测量,确定0K 对于R1 ,R2 和C 是怎样的近似关系。测量VCO 输出的上升和下降时间,研究电容性负载的影响。 2 无源环路滤波器 无源环路滤波器位于鉴相器输出与VCO 输入之间。此滤波器对鉴相器输出中的高次谐波进行衰减,并控制环路的强度。通常用一个简单RC 滤波器就可以满足要求,这种设计能避免有源滤波器设计中固有的电平移动和输出限制的恼人问题。但另外一方面,有源滤波器可以提供更优越的性能。 2.1 相位比较器 首先来看一下4046的相位比较器II 的输出。该输出端是一个三态器件,这可以在环路锁定时减小波纹。与存在两倍基频拍频的情况不同,这里没有任何拍频。糟糕的方面是,当我们需要为环路建立一个框图时,D K 却不能很好地定义。当向上或向下驱动之一接通时,输出端表现为电压源。但是当输出端悬浮时,它实质上为一个电流源(一个0A 电流源)。因此D K 的值将依赖于给定的滤波器。考察图1。 图1 相位比较器II 的输出 图中当向上驱动器接通时,相位比较器输出为5PO v V =+,当向下驱动器接通时,0PO v V =,当相位比较器处在开路状态时,PO D v v =。我们可以求出输出的平均值:

(完整版)锁相环工作原理

基本组成和锁相环电路 1、频率合成器电路 频率合成器组成: 频率合成器电路为本机收发电路的频率源,产生接收第一本机信号源和发射电路的发射信号源,发射信号源主要由锁相环和VCO电路直接产生。如图3-4所示。 在现在的移动通信终端中,用于射频前端上下变频的本振源(LO),在射频电路中起着非常重要的作用。本振源通常是由锁相环电路(Phase-Locked Loop)来实现。 2.锁相环: 它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域 3.锁相环基本原理: 锁相环包含三个主要的部分:⑴鉴相器(或相位比较器,记为PD或PC):是完成相位比较的单元,用来比较输入信号和基准信号的之间的相位.它的输出电压正比于两个输入信号之相位差.⑵低通滤波器(LPF):是个线性电路,其作用是滤除鉴相器输出电压中的高频分量,起平滑滤波的作用.通常由电阻、电容或电感等组成,有时也包含运算放大器。⑶压控振荡器(VCO):振

荡频率受控制电压控制的振荡器,而振荡频率与控制电压之间成线性关系。在PLL中,压控振荡器实际上是把控制电压转换为相位。 1、压控振荡器的输出经过采集并分频; 2、和基准信号同时输入鉴相器; 3、鉴相器通过比较上述两个信号的频率差,然后输出一个直流脉冲电压; 4、控制VCO,使它的频率改变; 5、这样经过一个很短的时间,VCO 的输出就会稳定于某一期望值。 锁相环电路是一种相位负反馈系统。一个完整的锁相环电路是由晶振、鉴相器、R分频器、N分频器、压控振荡器(VCO)、低通滤波器(LFP)构成,并留有数据控制接口。 锁相环电路的工作原理是:在控制接口对R分频器和N分频器完成参数配置后。晶振产生的参考频率(Fref)经R分频后输入到鉴相器,同时VCO的输出频率(Fout)也经N分频后输入到鉴相器,鉴相器对这两个信号进行相位比较,将比较的相位差以电压或电流的方式输出,并通过LFP滤波,加到VCO的调制端,从而控制VCO的输出频率,使鉴相器两输入端的输入频率相等。 锁相环电路的计算公式见公式: Fout=(N/R)Fref 由公式可见,只要合理设置数值N和R,就可以通过锁相环电路产生所需要的高频信号。 4.锁相环芯片 锁相环的基准频率为13MHz,通过内部固定数字频率分频器生成5KHz或6.25KHz的参考频率。VCO振荡频率通过IC1 内部的可编程分频器分频后,与基准频率进行相位比较,产生误差控制信号,去控制VCO,改变VCO的振荡频率,从而使VCO输出的频率满足要求。如图3-5所示。 N=F VCO/F R N:分频次数 F VCO:VCO振荡频率

锁相环设计与MATLAB仿真

本科毕业设计论文 题目锁相环设计与MATLAB仿真 _______________________________________ 专业名称电子科学与技术 学生姓名何鹏 指导教师李立欣 毕业时间2010年6月

毕业 任务书 一、题目 《锁相环设计与MATLAB 仿真》 二、指导思想和目的要求 在了解锁相环的基本工作原理的基础上,熟悉其构成及数学模型,在对锁相环有了充分的要了解后,运用MATLAB 仿真软件对其进行仿真。通过仿真看锁相环是否工作正常,参数指标是否合格来判断是否达到了仿真要求。 三、主要技术指标 1.锁相环的基本原理 2.锁相环工作期间是否经历了失锁、跟踪、捕获、锁定等四个状态。 3.锁定后平率相位是否平稳。 四、进度和要求 第3~5 周:查阅和整理资料文献,确定研究模型和研究方向; 第6~8 周:分析模型,找出其中的缺陷; 第9~11 周: 提出更容易实现的结构,对该结构具体分析; 第11~13 周:整理资料进行论文撰写、装订并翻译英文文献; 第14~15 周: 论文评阅,答辩准备,答辩 五、主要参考书及参考资料 Floyd M .Gardner,锁相环技术(第三版)姚剑清 译,人民邮电出版社,2007 Roland E.Best,锁相环设计、仿真与应用(第五版),李永明 等译,清华学出版社,2007.4 学生 ___________ 指导教师 ___________ 系主任 ___________ 设计 论文

目录 中文摘要 (3) 英文摘要 (4) 前言 (6) 第一章绪论 (7) 1.1 锁相环的发展及国内外研究现状 (7) 1.2 本文的主要内容组织 (9) 第二章锁相环的基本理论 (10) 2.1锁相环的工作原理 (11) 2.1.1鉴相器 (11) 2.1.2 低通滤波器 (13) 2.1.3 压控振荡器 (15) 2.2锁相环的工作状态 (15) 2.3锁相环的非线性工作性能分析 (17) 2.3.1跟踪性能 (18) 2.3.2捕获性能 (18) 2.3.3失锁状态 (19) 2.4锁相环的稳定性 (20) 2.5信号流程图 (21) 2.6锁相环的优良特性 (21) 2.7锁相环的应用 (22) 2.7.1锁相环在调制和解调中的应用 (22) 2.7.2锁相环在频率合成器中的应用 (23) 2.8本章小结 (23) 第三章锁相环的噪声分析 (24)

全数字锁相环设计1

全数字锁相环设计1 全数字锁相环设计 锁相的概念是在19世纪30年代提出的,而且很快在电子学和通信领域中 获得广泛应用。尽管基本锁相环的从开始出现几乎保持原样,但是使用不同的 技术制作及满足不同的应用要求,锁相环的实现对于特定的设计还是蛮大的挑战。 锁相环在通信、雷达、测量和自动化控制等领域应用极为广泛,已经成为 各种电子设备中必不可少的基本部件。随着电子技术向数字化方向发展,需要 采用数字方式实现信号的锁相处理。锁相环技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现 的锁相环相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程 可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及 D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,全数字锁相环必然会在其中得到更为广泛的应用。因此,对全数字锁相环 的研究和应用得到了越来越多的关注。 传统的数字锁相环系统是希望通过采用具有低通特性的环路滤波器,获得 稳定的振荡控制数据。对于高阶全数字锁相环,其数字滤波器常常采用基于 DSP的运算电路。这种结构的锁相环,当环路带宽很窄时,环路滤波器的实现 将需要很大的电路量,这给专用集成电路的应用和片上系统SOC(system on chip)的设计带来一定困难。另一种类型的全数字锁相环是采用脉冲序列低通滤波计数电路作为环路滤波器,如随机徘徊序列滤波器、先N后M序列滤波器等。这些电路通过对鉴相模块产生的相位误差脉冲进行计数运算,获得可控振荡器 模块的振荡控制参数。由于脉冲序列低通滤波计数方法是一个比较复杂的非线 性处理过程,难以进行线性近似,因此,无法采用系统传递函数的分析方法确 定锁相环的设计参数。不能实现对高阶数字锁相环性能指标的解藕控制和分析,无法满足较高的应用需求。

相关文档
最新文档